Subversion Repositories Code-Repo

Rev

Rev 150 | Blame | Compare with Previous | Last modification | View Log | RSS feed

#
# Generated Makefile - do not edit!
#
# Edit the Makefile in the project folder instead (../Makefile). Each target
# has a -pre and a -post target defined where you can add customized code.
#
# This makefile implements configuration specific macros and targets.


# Include project Makefile
ifeq "${IGNORE_LOCAL}" "TRUE"
# do not include local makefile. User is passing all local related variables already
else
include Makefile
# Include makefile containing local settings
ifeq "$(wildcard nbproject/Makefile-local-default.mk)" "nbproject/Makefile-local-default.mk"
include nbproject/Makefile-local-default.mk
endif
endif

# Environment
MKDIR=gnumkdir -p
RM=rm -f 
MV=mv 
CP=cp 

# Macros
CND_CONF=default
ifeq ($(TYPE_IMAGE), DEBUG_RUN)
IMAGE_TYPE=debug
OUTPUT_SUFFIX=cof
DEBUGGABLE_SUFFIX=cof
FINAL_IMAGE=dist/${CND_CONF}/${IMAGE_TYPE}/PIC_27J13.${IMAGE_TYPE}.${OUTPUT_SUFFIX}
else
IMAGE_TYPE=production
OUTPUT_SUFFIX=hex
DEBUGGABLE_SUFFIX=cof
FINAL_IMAGE=dist/${CND_CONF}/${IMAGE_TYPE}/PIC_27J13.${IMAGE_TYPE}.${OUTPUT_SUFFIX}
endif

# Object Directory
OBJECTDIR=build/${CND_CONF}/${IMAGE_TYPE}

# Distribution Directory
DISTDIR=dist/${CND_CONF}/${IMAGE_TYPE}

# Object Files Quoted if spaced
OBJECTFILES_QUOTED_IF_SPACED=${OBJECTDIR}/main.o ${OBJECTDIR}/i2c.o ${OBJECTDIR}/interrupts.o ${OBJECTDIR}/spi.o ${OBJECTDIR}/uart.o ${OBJECTDIR}/oled_ssd1306.o ${OBJECTDIR}/glcdfont.o ${OBJECTDIR}/adc.o ${OBJECTDIR}/xbee.o ${OBJECTDIR}/oled_ssd1331.o ${OBJECTDIR}/timers.o ${OBJECTDIR}/led_HT16K33.o ${OBJECTDIR}/lux_TSL2561.o ${OBJECTDIR}/nfc_PN532.o ${OBJECTDIR}/oled_NHD-0216KZW-AB5.o ${OBJECTDIR}/temp_BMP085.o
POSSIBLE_DEPFILES=${OBJECTDIR}/main.o.d ${OBJECTDIR}/i2c.o.d ${OBJECTDIR}/interrupts.o.d ${OBJECTDIR}/spi.o.d ${OBJECTDIR}/uart.o.d ${OBJECTDIR}/oled_ssd1306.o.d ${OBJECTDIR}/glcdfont.o.d ${OBJECTDIR}/adc.o.d ${OBJECTDIR}/xbee.o.d ${OBJECTDIR}/oled_ssd1331.o.d ${OBJECTDIR}/timers.o.d ${OBJECTDIR}/led_HT16K33.o.d ${OBJECTDIR}/lux_TSL2561.o.d ${OBJECTDIR}/nfc_PN532.o.d ${OBJECTDIR}/oled_NHD-0216KZW-AB5.o.d ${OBJECTDIR}/temp_BMP085.o.d

# Object Files
OBJECTFILES=${OBJECTDIR}/main.o ${OBJECTDIR}/i2c.o ${OBJECTDIR}/interrupts.o ${OBJECTDIR}/spi.o ${OBJECTDIR}/uart.o ${OBJECTDIR}/oled_ssd1306.o ${OBJECTDIR}/glcdfont.o ${OBJECTDIR}/adc.o ${OBJECTDIR}/xbee.o ${OBJECTDIR}/oled_ssd1331.o ${OBJECTDIR}/timers.o ${OBJECTDIR}/led_HT16K33.o ${OBJECTDIR}/lux_TSL2561.o ${OBJECTDIR}/nfc_PN532.o ${OBJECTDIR}/oled_NHD-0216KZW-AB5.o ${OBJECTDIR}/temp_BMP085.o


CFLAGS=
ASFLAGS=
LDLIBSOPTIONS=

############# Tool locations ##########################################
# If you copy a project from one host to another, the path where the  #
# compiler is installed may be different.                             #
# If you open this project with MPLAB X in the new host, this         #
# makefile will be regenerated and the paths will be corrected.       #
#######################################################################
# fixDeps replaces a bunch of sed/cat/printf statements that slow down the build
FIXDEPS=fixDeps

.build-conf:  ${BUILD_SUBPROJECTS}
        ${MAKE} ${MAKE_OPTIONS} -f nbproject/Makefile-default.mk dist/${CND_CONF}/${IMAGE_TYPE}/PIC_27J13.${IMAGE_TYPE}.${OUTPUT_SUFFIX}

MP_PROCESSOR_OPTION=18F27J13
MP_PROCESSOR_OPTION_LD=18f27j13
MP_LINKER_DEBUG_OPTION=
# ------------------------------------------------------------------------------------
# Rules for buildStep: assemble
ifeq ($(TYPE_IMAGE), DEBUG_RUN)
else
endif

# ------------------------------------------------------------------------------------
# Rules for buildStep: compile
ifeq ($(TYPE_IMAGE), DEBUG_RUN)
${OBJECTDIR}/main.o: main.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/main.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/main.o   main.c 
        @${DEP_GEN} -d ${OBJECTDIR}/main.o 
        @${FIXDEPS} "${OBJECTDIR}/main.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/i2c.o: i2c.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/i2c.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/i2c.o   i2c.c 
        @${DEP_GEN} -d ${OBJECTDIR}/i2c.o 
        @${FIXDEPS} "${OBJECTDIR}/i2c.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/interrupts.o: interrupts.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/interrupts.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/interrupts.o   interrupts.c 
        @${DEP_GEN} -d ${OBJECTDIR}/interrupts.o 
        @${FIXDEPS} "${OBJECTDIR}/interrupts.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/spi.o: spi.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/spi.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/spi.o   spi.c 
        @${DEP_GEN} -d ${OBJECTDIR}/spi.o 
        @${FIXDEPS} "${OBJECTDIR}/spi.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/uart.o: uart.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/uart.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/uart.o   uart.c 
        @${DEP_GEN} -d ${OBJECTDIR}/uart.o 
        @${FIXDEPS} "${OBJECTDIR}/uart.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/oled_ssd1306.o: oled_ssd1306.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/oled_ssd1306.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/oled_ssd1306.o   oled_ssd1306.c 
        @${DEP_GEN} -d ${OBJECTDIR}/oled_ssd1306.o 
        @${FIXDEPS} "${OBJECTDIR}/oled_ssd1306.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/glcdfont.o: glcdfont.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/glcdfont.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/glcdfont.o   glcdfont.c 
        @${DEP_GEN} -d ${OBJECTDIR}/glcdfont.o 
        @${FIXDEPS} "${OBJECTDIR}/glcdfont.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/adc.o: adc.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/adc.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/adc.o   adc.c 
        @${DEP_GEN} -d ${OBJECTDIR}/adc.o 
        @${FIXDEPS} "${OBJECTDIR}/adc.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/xbee.o: xbee.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/xbee.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/xbee.o   xbee.c 
        @${DEP_GEN} -d ${OBJECTDIR}/xbee.o 
        @${FIXDEPS} "${OBJECTDIR}/xbee.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/oled_ssd1331.o: oled_ssd1331.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/oled_ssd1331.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/oled_ssd1331.o   oled_ssd1331.c 
        @${DEP_GEN} -d ${OBJECTDIR}/oled_ssd1331.o 
        @${FIXDEPS} "${OBJECTDIR}/oled_ssd1331.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/timers.o: timers.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/timers.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/timers.o   timers.c 
        @${DEP_GEN} -d ${OBJECTDIR}/timers.o 
        @${FIXDEPS} "${OBJECTDIR}/timers.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/led_HT16K33.o: led_HT16K33.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/led_HT16K33.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/led_HT16K33.o   led_HT16K33.c 
        @${DEP_GEN} -d ${OBJECTDIR}/led_HT16K33.o 
        @${FIXDEPS} "${OBJECTDIR}/led_HT16K33.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/lux_TSL2561.o: lux_TSL2561.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/lux_TSL2561.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/lux_TSL2561.o   lux_TSL2561.c 
        @${DEP_GEN} -d ${OBJECTDIR}/lux_TSL2561.o 
        @${FIXDEPS} "${OBJECTDIR}/lux_TSL2561.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/nfc_PN532.o: nfc_PN532.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/nfc_PN532.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/nfc_PN532.o   nfc_PN532.c 
        @${DEP_GEN} -d ${OBJECTDIR}/nfc_PN532.o 
        @${FIXDEPS} "${OBJECTDIR}/nfc_PN532.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/oled_NHD-0216KZW-AB5.o: oled_NHD-0216KZW-AB5.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/oled_NHD-0216KZW-AB5.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/oled_NHD-0216KZW-AB5.o   oled_NHD-0216KZW-AB5.c 
        @${DEP_GEN} -d ${OBJECTDIR}/oled_NHD-0216KZW-AB5.o 
        @${FIXDEPS} "${OBJECTDIR}/oled_NHD-0216KZW-AB5.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/temp_BMP085.o: temp_BMP085.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/temp_BMP085.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -D__DEBUG -D__MPLAB_DEBUGGER_PK3=1 -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/temp_BMP085.o   temp_BMP085.c 
        @${DEP_GEN} -d ${OBJECTDIR}/temp_BMP085.o 
        @${FIXDEPS} "${OBJECTDIR}/temp_BMP085.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
else
${OBJECTDIR}/main.o: main.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/main.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/main.o   main.c 
        @${DEP_GEN} -d ${OBJECTDIR}/main.o 
        @${FIXDEPS} "${OBJECTDIR}/main.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/i2c.o: i2c.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/i2c.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/i2c.o   i2c.c 
        @${DEP_GEN} -d ${OBJECTDIR}/i2c.o 
        @${FIXDEPS} "${OBJECTDIR}/i2c.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/interrupts.o: interrupts.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/interrupts.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/interrupts.o   interrupts.c 
        @${DEP_GEN} -d ${OBJECTDIR}/interrupts.o 
        @${FIXDEPS} "${OBJECTDIR}/interrupts.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/spi.o: spi.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/spi.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/spi.o   spi.c 
        @${DEP_GEN} -d ${OBJECTDIR}/spi.o 
        @${FIXDEPS} "${OBJECTDIR}/spi.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/uart.o: uart.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/uart.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/uart.o   uart.c 
        @${DEP_GEN} -d ${OBJECTDIR}/uart.o 
        @${FIXDEPS} "${OBJECTDIR}/uart.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/oled_ssd1306.o: oled_ssd1306.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/oled_ssd1306.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/oled_ssd1306.o   oled_ssd1306.c 
        @${DEP_GEN} -d ${OBJECTDIR}/oled_ssd1306.o 
        @${FIXDEPS} "${OBJECTDIR}/oled_ssd1306.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/glcdfont.o: glcdfont.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/glcdfont.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/glcdfont.o   glcdfont.c 
        @${DEP_GEN} -d ${OBJECTDIR}/glcdfont.o 
        @${FIXDEPS} "${OBJECTDIR}/glcdfont.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/adc.o: adc.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/adc.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/adc.o   adc.c 
        @${DEP_GEN} -d ${OBJECTDIR}/adc.o 
        @${FIXDEPS} "${OBJECTDIR}/adc.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/xbee.o: xbee.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/xbee.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/xbee.o   xbee.c 
        @${DEP_GEN} -d ${OBJECTDIR}/xbee.o 
        @${FIXDEPS} "${OBJECTDIR}/xbee.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/oled_ssd1331.o: oled_ssd1331.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/oled_ssd1331.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/oled_ssd1331.o   oled_ssd1331.c 
        @${DEP_GEN} -d ${OBJECTDIR}/oled_ssd1331.o 
        @${FIXDEPS} "${OBJECTDIR}/oled_ssd1331.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/timers.o: timers.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/timers.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/timers.o   timers.c 
        @${DEP_GEN} -d ${OBJECTDIR}/timers.o 
        @${FIXDEPS} "${OBJECTDIR}/timers.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/led_HT16K33.o: led_HT16K33.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/led_HT16K33.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/led_HT16K33.o   led_HT16K33.c 
        @${DEP_GEN} -d ${OBJECTDIR}/led_HT16K33.o 
        @${FIXDEPS} "${OBJECTDIR}/led_HT16K33.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/lux_TSL2561.o: lux_TSL2561.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/lux_TSL2561.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/lux_TSL2561.o   lux_TSL2561.c 
        @${DEP_GEN} -d ${OBJECTDIR}/lux_TSL2561.o 
        @${FIXDEPS} "${OBJECTDIR}/lux_TSL2561.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/nfc_PN532.o: nfc_PN532.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/nfc_PN532.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/nfc_PN532.o   nfc_PN532.c 
        @${DEP_GEN} -d ${OBJECTDIR}/nfc_PN532.o 
        @${FIXDEPS} "${OBJECTDIR}/nfc_PN532.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/oled_NHD-0216KZW-AB5.o: oled_NHD-0216KZW-AB5.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/oled_NHD-0216KZW-AB5.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/oled_NHD-0216KZW-AB5.o   oled_NHD-0216KZW-AB5.c 
        @${DEP_GEN} -d ${OBJECTDIR}/oled_NHD-0216KZW-AB5.o 
        @${FIXDEPS} "${OBJECTDIR}/oled_NHD-0216KZW-AB5.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
${OBJECTDIR}/temp_BMP085.o: temp_BMP085.c  nbproject/Makefile-${CND_CONF}.mk
        @${MKDIR} ${OBJECTDIR} 
        @${RM} ${OBJECTDIR}/temp_BMP085.o.d 
        ${MP_CC} $(MP_EXTRA_CC_PRE) -p$(MP_PROCESSOR_OPTION) -oi -ml -oa-  -I ${MP_CC_DIR}\\..\\h  -fo ${OBJECTDIR}/temp_BMP085.o   temp_BMP085.c 
        @${DEP_GEN} -d ${OBJECTDIR}/temp_BMP085.o 
        @${FIXDEPS} "${OBJECTDIR}/temp_BMP085.o.d" $(SILENT) -rsi ${MP_CC_DIR}../ -c18 
        
endif

# ------------------------------------------------------------------------------------
# Rules for buildStep: link
ifeq ($(TYPE_IMAGE), DEBUG_RUN)
dist/${CND_CONF}/${IMAGE_TYPE}/PIC_27J13.${IMAGE_TYPE}.${OUTPUT_SUFFIX}: ${OBJECTFILES}  nbproject/Makefile-${CND_CONF}.mk    18f27j13.lkr
        @${MKDIR} dist/${CND_CONF}/${IMAGE_TYPE} 
        ${MP_LD} $(MP_EXTRA_LD_PRE) "18f27j13.lkr"  -p$(MP_PROCESSOR_OPTION_LD)  -w -x -u_DEBUG   -z__MPLAB_BUILD=1  -u_CRUNTIME -z__MPLAB_DEBUG=1 -z__MPLAB_DEBUGGER_PK3=1 $(MP_LINKER_DEBUG_OPTION) -l ${MP_CC_DIR}\\..\\lib  -o dist/${CND_CONF}/${IMAGE_TYPE}/PIC_27J13.${IMAGE_TYPE}.${OUTPUT_SUFFIX}  ${OBJECTFILES_QUOTED_IF_SPACED}   
else
dist/${CND_CONF}/${IMAGE_TYPE}/PIC_27J13.${IMAGE_TYPE}.${OUTPUT_SUFFIX}: ${OBJECTFILES}  nbproject/Makefile-${CND_CONF}.mk   18f27j13.lkr
        @${MKDIR} dist/${CND_CONF}/${IMAGE_TYPE} 
        ${MP_LD} $(MP_EXTRA_LD_PRE) "18f27j13.lkr"  -p$(MP_PROCESSOR_OPTION_LD)  -w    -z__MPLAB_BUILD=1  -u_CRUNTIME -l ${MP_CC_DIR}\\..\\lib  -o dist/${CND_CONF}/${IMAGE_TYPE}/PIC_27J13.${IMAGE_TYPE}.${DEBUGGABLE_SUFFIX}  ${OBJECTFILES_QUOTED_IF_SPACED}   
endif


# Subprojects
.build-subprojects:


# Subprojects
.clean-subprojects:

# Clean Targets
.clean-conf: ${CLEAN_SUBPROJECTS}
        ${RM} -r build/default
        ${RM} -r dist/default

# Enable dependency checking
.dep.inc: .depcheck-impl

DEPFILES=$(shell mplabwildcard ${POSSIBLE_DEPFILES})
ifneq (${DEPFILES},)
include ${DEPFILES}
endif