Subversion Repositories Code-Repo

Compare Revisions

Ignore whitespace Rev 248 → Rev 249

/MSP430/MSP430_G2231/Debug/optimizerAssistant/opt_level__4/MSP430_G2231_linkInfo.xml
0,0 → 1,1833
<?xml version="1.0"?>
<link_info>
<banner>MSP430 Linker PC v4.2.1</banner>
<copyright>Copyright (c) 2003-2013 Texas Instruments Incorporated</copyright>
<link_time>0x52cf4055</link_time>
<link_errors>0x0</link_errors>
<output_file>MSP430_G2231.out</output_file>
<entry_point>
<name>_c_int00</name>
<address>0xf8b8</address>
</entry_point>
<input_file_list>
<input_file id="fl-1c">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>boot.obj</name>
</input_file>
<input_file id="fl-20">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>epilog.obj</name>
</input_file>
<input_file id="fl-21">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>exit.obj</name>
</input_file>
<input_file id="fl-22">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int02.obj</name>
</input_file>
<input_file id="fl-23">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int03.obj</name>
</input_file>
<input_file id="fl-24">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int05.obj</name>
</input_file>
<input_file id="fl-25">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int08.obj</name>
</input_file>
<input_file id="fl-26">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int09.obj</name>
</input_file>
<input_file id="fl-27">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int10.obj</name>
</input_file>
<input_file id="fl-28">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int14.obj</name>
</input_file>
<input_file id="fl-29">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>isr_trap.obj</name>
</input_file>
<input_file id="fl-2d">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>args_main.obj</name>
</input_file>
<input_file id="fl-2e">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>autoinit.obj</name>
</input_file>
<input_file id="fl-2f">
<path>C:\Users\Kevin\AppData\Local\Temp\</path>
<kind>object</kind>
<file>010522</file>
<name>010522</name>
</input_file>
<input_file id="fl-30">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>copy_decompress_none.obj</name>
</input_file>
<input_file id="fl-31">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>copy_decompress_rle.obj</name>
</input_file>
<input_file id="fl-32">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>copy_zero_init.obj</name>
</input_file>
<input_file id="fl-33">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>memcpy.obj</name>
</input_file>
</input_file_list>
<object_component_list>
<object_component id="oc-46">
<name>.stack</name>
<uninitialized>true</uninitialized>
<run_address>0x24c</run_address>
<size>0x2</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-ee">
<name>.stack</name>
<uninitialized>true</uninitialized>
<run_address>0x24c</run_address>
<size>0x0</size>
</object_component>
<object_component id="oc-57">
<name>.text:_auto_init</name>
<load_address>0xf800</load_address>
<run_address>0xf800</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-5c">
<name>.text:main</name>
<load_address>0xf85e</load_address>
<run_address>0xf85e</run_address>
<size>0x5a</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-32">
<name>.text:_c_int00_noexit</name>
<load_address>0xf8b8</load_address>
<run_address>0xf8b8</run_address>
<size>0x1a</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-4d">
<name>.text:USI_SPI_Vector</name>
<load_address>0xf8d2</load_address>
<run_address>0xf8d2</run_address>
<size>0x14</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-90">
<name>.text</name>
<load_address>0xf8e6</load_address>
<run_address>0xf8e6</run_address>
<size>0x10</size>
<input_file_ref idref="fl-20"/>
</object_component>
<object_component id="oc-49">
<name>.text:__TI_ISR_TRAP</name>
<load_address>0xf8f6</load_address>
<run_address>0xf8f6</run_address>
<size>0x6</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-52">
<name>.text:_system_pre_init</name>
<load_address>0xf8fc</load_address>
<run_address>0xf8fc</run_address>
<size>0x4</size>
<input_file_ref idref="fl-2b"/>
</object_component>
<object_component id="oc-61">
<name>.text:abort</name>
<load_address>0xf900</load_address>
<run_address>0xf900</run_address>
<size>0x4</size>
<input_file_ref idref="fl-21"/>
</object_component>
<object_component id="oc-2a">
<name>.int02</name>
<load_address>0xffe4</load_address>
<run_address>0xffe4</run_address>
<size>0x2</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-2b">
<name>.int03</name>
<load_address>0xffe6</load_address>
<run_address>0xffe6</run_address>
<size>0x2</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-2c">
<name>.int04</name>
<load_address>0xffe8</load_address>
<run_address>0xffe8</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-2d">
<name>.int05</name>
<load_address>0xffea</load_address>
<run_address>0xffea</run_address>
<size>0x2</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-2e">
<name>.int08</name>
<load_address>0xfff0</load_address>
<run_address>0xfff0</run_address>
<size>0x2</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-2f">
<name>.int09</name>
<load_address>0xfff2</load_address>
<run_address>0xfff2</run_address>
<size>0x2</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-30">
<name>.int10</name>
<load_address>0xfff4</load_address>
<run_address>0xfff4</run_address>
<size>0x2</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-31">
<name>.int14</name>
<load_address>0xfffc</load_address>
<run_address>0xfffc</run_address>
<size>0x2</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-48">
<name>.reset</name>
<load_address>0xfffe</load_address>
<run_address>0xfffe</run_address>
<size>0x2</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-33">
<name>.debug_info</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x125</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-47">
<name>.debug_info</name>
<load_address>0x125</load_address>
<run_address>0x125</run_address>
<size>0xaa</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-4c">
<name>.debug_info</name>
<load_address>0x1cf</load_address>
<run_address>0x1cf</run_address>
<size>0xc2</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-50">
<name>.debug_info</name>
<load_address>0x291</load_address>
<run_address>0x291</run_address>
<size>0x103</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-55">
<name>.debug_info</name>
<load_address>0x394</load_address>
<run_address>0x394</run_address>
<size>0x10c</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-5a">
<name>.debug_info</name>
<load_address>0x4a0</load_address>
<run_address>0x4a0</run_address>
<size>0x14d</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-60">
<name>.debug_info</name>
<load_address>0x5ed</load_address>
<run_address>0x5ed</run_address>
<size>0xe7</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-62">
<name>.debug_info</name>
<load_address>0x6d4</load_address>
<run_address>0x6d4</run_address>
<size>0xcc</size>
<input_file_ref idref="fl-21"/>
</object_component>
<object_component id="oc-88">
<name>.debug_info</name>
<load_address>0x7a0</load_address>
<run_address>0x7a0</run_address>
<size>0x84</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-91">
<name>.debug_info</name>
<load_address>0x824</load_address>
<run_address>0x824</run_address>
<size>0xe1</size>
<input_file_ref idref="fl-20"/>
</object_component>
<object_component id="oc-95">
<name>.debug_info</name>
<load_address>0x905</load_address>
<run_address>0x905</run_address>
<size>0x2ba</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-af">
<name>.debug_info</name>
<load_address>0xbbf</load_address>
<run_address>0xbbf</run_address>
<size>0x39</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-ef">
<name>.debug_info</name>
<load_address>0xbf8</load_address>
<run_address>0xbf8</run_address>
<size>0xac</size>
</object_component>
<object_component id="oc-34">
<name>.debug_line</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x44</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-4b">
<name>.debug_line</name>
<load_address>0x44</load_address>
<run_address>0x44</run_address>
<size>0x3c</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-51">
<name>.debug_line</name>
<load_address>0x80</load_address>
<run_address>0x80</run_address>
<size>0x44</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-56">
<name>.debug_line</name>
<load_address>0xc4</load_address>
<run_address>0xc4</run_address>
<size>0x3e</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-58">
<name>.debug_line</name>
<load_address>0x102</load_address>
<run_address>0x102</run_address>
<size>0x6b</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-5f">
<name>.debug_line</name>
<load_address>0x16d</load_address>
<run_address>0x16d</run_address>
<size>0x53</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-63">
<name>.debug_line</name>
<load_address>0x1c0</load_address>
<run_address>0x1c0</run_address>
<size>0x3a</size>
<input_file_ref idref="fl-21"/>
</object_component>
<object_component id="oc-87">
<name>.debug_line</name>
<load_address>0x1fa</load_address>
<run_address>0x1fa</run_address>
<size>0x2a</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-8a">
<name>.debug_line</name>
<load_address>0x224</load_address>
<run_address>0x224</run_address>
<size>0x20</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-92">
<name>.debug_line</name>
<load_address>0x244</load_address>
<run_address>0x244</run_address>
<size>0x40</size>
<input_file_ref idref="fl-20"/>
</object_component>
<object_component id="oc-ae">
<name>.debug_line</name>
<load_address>0x284</load_address>
<run_address>0x284</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-b1">
<name>.debug_line</name>
<load_address>0x2b2</load_address>
<run_address>0x2b2</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-35">
<name>.debug_frame</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x42</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-4f">
<name>.debug_frame</name>
<load_address>0x42</load_address>
<run_address>0x42</run_address>
<size>0x50</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-53">
<name>.debug_frame</name>
<load_address>0x92</load_address>
<run_address>0x92</run_address>
<size>0x47</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-5b">
<name>.debug_frame</name>
<load_address>0xd9</load_address>
<run_address>0xd9</run_address>
<size>0x51</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-5d">
<name>.debug_frame</name>
<load_address>0x12a</load_address>
<run_address>0x12a</run_address>
<size>0x47</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-64">
<name>.debug_frame</name>
<load_address>0x171</load_address>
<run_address>0x171</run_address>
<size>0x47</size>
<input_file_ref idref="fl-21"/>
</object_component>
<object_component id="oc-36">
<name>.debug_aranges</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-4a">
<name>.debug_aranges</name>
<load_address>0x20</load_address>
<run_address>0x20</run_address>
<size>0x20</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-4e">
<name>.debug_aranges</name>
<load_address>0x40</load_address>
<run_address>0x40</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-54">
<name>.debug_aranges</name>
<load_address>0x60</load_address>
<run_address>0x60</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-59">
<name>.debug_aranges</name>
<load_address>0x80</load_address>
<run_address>0x80</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-5e">
<name>.debug_aranges</name>
<load_address>0xa0</load_address>
<run_address>0xa0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-65">
<name>.debug_aranges</name>
<load_address>0xc0</load_address>
<run_address>0xc0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-21"/>
</object_component>
<object_component id="oc-93">
<name>.debug_aranges</name>
<load_address>0xe0</load_address>
<run_address>0xe0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-20"/>
</object_component>
<object_component id="oc-66">
<name>.debug_abbrev</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x58</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-86">
<name>.debug_abbrev</name>
<load_address>0x58</load_address>
<run_address>0x58</run_address>
<size>0x29</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-89">
<name>.debug_abbrev</name>
<load_address>0x81</load_address>
<run_address>0x81</run_address>
<size>0x1f</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-8d">
<name>.debug_abbrev</name>
<load_address>0xa0</load_address>
<run_address>0xa0</run_address>
<size>0x27</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-8e">
<name>.debug_abbrev</name>
<load_address>0xc7</load_address>
<run_address>0xc7</run_address>
<size>0x51</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-8f">
<name>.debug_abbrev</name>
<load_address>0x118</load_address>
<run_address>0x118</run_address>
<size>0x50</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-94">
<name>.debug_abbrev</name>
<load_address>0x168</load_address>
<run_address>0x168</run_address>
<size>0x64</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-96">
<name>.debug_abbrev</name>
<load_address>0x1cc</load_address>
<run_address>0x1cc</run_address>
<size>0x42</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-97">
<name>.debug_abbrev</name>
<load_address>0x20e</load_address>
<run_address>0x20e</run_address>
<size>0x45</size>
<input_file_ref idref="fl-21"/>
</object_component>
<object_component id="oc-ac">
<name>.debug_abbrev</name>
<load_address>0x253</load_address>
<run_address>0x253</run_address>
<size>0x39</size>
<input_file_ref idref="fl-20"/>
</object_component>
<object_component id="oc-ad">
<name>.debug_abbrev</name>
<load_address>0x28c</load_address>
<run_address>0x28c</run_address>
<size>0x82</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-b0">
<name>.debug_abbrev</name>
<load_address>0x30e</load_address>
<run_address>0x30e</run_address>
<size>0x24</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-f0">
<name>.debug_abbrev</name>
<load_address>0x332</load_address>
<run_address>0x332</run_address>
<size>0xf</size>
</object_component>
<object_component id="oc-8b">
<name>.debug_str</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0xf7</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-b2">
<name>.debug_str</name>
<load_address>0xf7</load_address>
<run_address>0xf7</run_address>
<size>0x94</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-8c">
<name>.debug_pubtypes</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0xed</size>
<input_file_ref idref="fl-1c"/>
</object_component>
<object_component id="oc-b3">
<name>.debug_pubtypes</name>
<load_address>0xed</load_address>
<run_address>0xed</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-2e"/>
</object_component>
</object_component_list>
<logical_group_list>
<logical_group id="lg-4" display="no" color="cyan">
<name>.sysmem</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-5" display="no" color="cyan">
<name>.stack</name>
<run_address>0x24c</run_address>
<size>0x32</size>
<contents>
<object_component_ref idref="oc-46"/>
<object_component_ref idref="oc-ee"/>
</contents>
</logical_group>
<logical_group id="lg-6" display="no" color="cyan">
<name>.text</name>
<load_address>0xf800</load_address>
<run_address>0xf800</run_address>
<size>0x104</size>
<contents>
<object_component_ref idref="oc-57"/>
<object_component_ref idref="oc-5c"/>
<object_component_ref idref="oc-32"/>
<object_component_ref idref="oc-4d"/>
<object_component_ref idref="oc-90"/>
<object_component_ref idref="oc-49"/>
<object_component_ref idref="oc-52"/>
<object_component_ref idref="oc-61"/>
</contents>
</logical_group>
<logical_group id="lg-7" display="no" color="cyan">
<name>.cinit</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-8" display="no" color="cyan">
<name>.const</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-9" display="no" color="cyan">
<name>.cio</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-a" display="no" color="cyan">
<name>.pinit</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-b" display="no" color="cyan">
<name>.init_array</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-c" display="no" color="cyan">
<name>.mspabi.exidx</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-d" display="no" color="cyan">
<name>.mspabi.extab</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-e" display="no" color="cyan">
<name>.infoA</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-f" display="no" color="cyan">
<name>.infoB</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-10" display="no" color="cyan">
<name>.infoC</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-11" display="no" color="cyan">
<name>.infoD</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-12" display="no" color="cyan">
<name>.int00</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-13" display="no" color="cyan">
<name>.int01</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-14" display="no" color="cyan">
<name>PORT1</name>
<load_address>0xffe4</load_address>
<run_address>0xffe4</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-2a"/>
</contents>
</logical_group>
<logical_group id="lg-16" display="no" color="cyan">
<name>PORT2</name>
<load_address>0xffe6</load_address>
<run_address>0xffe6</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-2b"/>
</contents>
</logical_group>
<logical_group id="lg-18" display="no" color="cyan">
<name>USI</name>
<load_address>0xffe8</load_address>
<run_address>0xffe8</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-2c"/>
</contents>
</logical_group>
<logical_group id="lg-1a" display="no" color="cyan">
<name>ADC10</name>
<load_address>0xffea</load_address>
<run_address>0xffea</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-2d"/>
</contents>
</logical_group>
<logical_group id="lg-1c" display="no" color="cyan">
<name>.int06</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-1d" display="no" color="cyan">
<name>.int07</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-1e" display="no" color="cyan">
<name>TIMERA1</name>
<load_address>0xfff0</load_address>
<run_address>0xfff0</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-2e"/>
</contents>
</logical_group>
<logical_group id="lg-20" display="no" color="cyan">
<name>TIMERA0</name>
<load_address>0xfff2</load_address>
<run_address>0xfff2</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-2f"/>
</contents>
</logical_group>
<logical_group id="lg-22" display="no" color="cyan">
<name>WDT</name>
<load_address>0xfff4</load_address>
<run_address>0xfff4</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-30"/>
</contents>
</logical_group>
<logical_group id="lg-24" display="no" color="cyan">
<name>.int11</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-25" display="no" color="cyan">
<name>.int12</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-26" display="no" color="cyan">
<name>.int13</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-27" display="no" color="cyan">
<name>NMI</name>
<load_address>0xfffc</load_address>
<run_address>0xfffc</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-31"/>
</contents>
</logical_group>
<logical_group id="lg-29" display="no" color="cyan">
<name>.reset</name>
<load_address>0xfffe</load_address>
<run_address>0xfffe</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-48"/>
</contents>
</logical_group>
<logical_group id="lg-b9" display="no" color="cyan">
<name>.TI.noinit</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-2" display="no" color="cyan">
<name>.bss</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-b8" display="no" color="cyan">
<name>BSS_GROUP</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
<logical_group_ref idref="lg-b9"/>
<logical_group_ref idref="lg-2"/>
</contents>
</logical_group>
<logical_group id="lg-bb" display="no" color="cyan">
<name>.TI.persistent</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-3" display="no" color="cyan">
<name>.data</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-ba" display="no" color="cyan">
<name>DATA_GROUP</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
<logical_group_ref idref="lg-bb"/>
<logical_group_ref idref="lg-3"/>
</contents>
</logical_group>
<logical_group id="lg-df" display="never" color="cyan">
<name>.debug_info</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0xca4</size>
<contents>
<object_component_ref idref="oc-33"/>
<object_component_ref idref="oc-47"/>
<object_component_ref idref="oc-4c"/>
<object_component_ref idref="oc-50"/>
<object_component_ref idref="oc-55"/>
<object_component_ref idref="oc-5a"/>
<object_component_ref idref="oc-60"/>
<object_component_ref idref="oc-62"/>
<object_component_ref idref="oc-88"/>
<object_component_ref idref="oc-91"/>
<object_component_ref idref="oc-95"/>
<object_component_ref idref="oc-af"/>
<object_component_ref idref="oc-ef"/>
</contents>
</logical_group>
<logical_group id="lg-e1" display="never" color="cyan">
<name>.debug_line</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x2e0</size>
<contents>
<object_component_ref idref="oc-34"/>
<object_component_ref idref="oc-4b"/>
<object_component_ref idref="oc-51"/>
<object_component_ref idref="oc-56"/>
<object_component_ref idref="oc-58"/>
<object_component_ref idref="oc-5f"/>
<object_component_ref idref="oc-63"/>
<object_component_ref idref="oc-87"/>
<object_component_ref idref="oc-8a"/>
<object_component_ref idref="oc-92"/>
<object_component_ref idref="oc-ae"/>
<object_component_ref idref="oc-b1"/>
</contents>
</logical_group>
<logical_group id="lg-e3" display="never" color="cyan">
<name>.debug_frame</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x1b8</size>
<contents>
<object_component_ref idref="oc-35"/>
<object_component_ref idref="oc-4f"/>
<object_component_ref idref="oc-53"/>
<object_component_ref idref="oc-5b"/>
<object_component_ref idref="oc-5d"/>
<object_component_ref idref="oc-64"/>
</contents>
</logical_group>
<logical_group id="lg-e5" display="never" color="cyan">
<name>.debug_aranges</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x100</size>
<contents>
<object_component_ref idref="oc-36"/>
<object_component_ref idref="oc-4a"/>
<object_component_ref idref="oc-4e"/>
<object_component_ref idref="oc-54"/>
<object_component_ref idref="oc-59"/>
<object_component_ref idref="oc-5e"/>
<object_component_ref idref="oc-65"/>
<object_component_ref idref="oc-93"/>
</contents>
</logical_group>
<logical_group id="lg-e7" display="never" color="cyan">
<name>.debug_abbrev</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x341</size>
<contents>
<object_component_ref idref="oc-66"/>
<object_component_ref idref="oc-86"/>
<object_component_ref idref="oc-89"/>
<object_component_ref idref="oc-8d"/>
<object_component_ref idref="oc-8e"/>
<object_component_ref idref="oc-8f"/>
<object_component_ref idref="oc-94"/>
<object_component_ref idref="oc-96"/>
<object_component_ref idref="oc-97"/>
<object_component_ref idref="oc-ac"/>
<object_component_ref idref="oc-ad"/>
<object_component_ref idref="oc-b0"/>
<object_component_ref idref="oc-f0"/>
</contents>
</logical_group>
<logical_group id="lg-e9" display="never" color="cyan">
<name>.debug_str</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x18b</size>
<contents>
<object_component_ref idref="oc-8b"/>
<object_component_ref idref="oc-b2"/>
</contents>
</logical_group>
<logical_group id="lg-eb" display="never" color="cyan">
<name>.debug_pubtypes</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x11c</size>
<contents>
<object_component_ref idref="oc-8c"/>
<object_component_ref idref="oc-b3"/>
</contents>
</logical_group>
<load_segment id="lg-f1" display="no" color="cyan">
<name>SEGMENT_0</name>
<run_address>0x24c</run_address>
<size>0x32</size>
<flags>0x6</flags>
<contents>
<logical_group_ref idref="lg-5"/>
</contents>
</load_segment>
<load_segment id="lg-f2" display="no" color="cyan">
<name>SEGMENT_1</name>
<load_address>0xf800</load_address>
<run_address>0xf800</run_address>
<size>0x104</size>
<flags>0x5</flags>
<contents>
<logical_group_ref idref="lg-6"/>
</contents>
</load_segment>
<load_segment id="lg-f3" display="no" color="cyan">
<name>SEGMENT_2</name>
<load_address>0xffe4</load_address>
<run_address>0xffe4</run_address>
<size>0x8</size>
<flags>0x4</flags>
<contents>
<logical_group_ref idref="lg-14"/>
<logical_group_ref idref="lg-16"/>
<logical_group_ref idref="lg-18"/>
<logical_group_ref idref="lg-1a"/>
</contents>
</load_segment>
<load_segment id="lg-f4" display="no" color="cyan">
<name>SEGMENT_3</name>
<load_address>0xfff0</load_address>
<run_address>0xfff0</run_address>
<size>0x6</size>
<flags>0x4</flags>
<contents>
<logical_group_ref idref="lg-1e"/>
<logical_group_ref idref="lg-20"/>
<logical_group_ref idref="lg-22"/>
</contents>
</load_segment>
<load_segment id="lg-f5" display="no" color="cyan">
<name>SEGMENT_4</name>
<load_address>0xfffc</load_address>
<run_address>0xfffc</run_address>
<size>0x4</size>
<flags>0x4</flags>
<contents>
<logical_group_ref idref="lg-27"/>
<logical_group_ref idref="lg-29"/>
</contents>
</load_segment>
</logical_group_list>
<placement_map>
<memory_area display="no" color="green">
<name>SFR</name>
<page_id>0x0</page_id>
<origin>0x0</origin>
<length>0x10</length>
<used_space>0x0</used_space>
<unused_space>0x10</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>PERIPHERALS_8BIT</name>
<page_id>0x0</page_id>
<origin>0x10</origin>
<length>0xf0</length>
<used_space>0x0</used_space>
<unused_space>0xf0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>PERIPHERALS_16BIT</name>
<page_id>0x0</page_id>
<origin>0x100</origin>
<length>0x100</length>
<used_space>0x0</used_space>
<unused_space>0x100</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>RAM</name>
<page_id>0x0</page_id>
<origin>0x200</origin>
<length>0x80</length>
<used_space>0x32</used_space>
<unused_space>0x4e</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<available_space>
<start_address>0x200</start_address>
<size>0x4c</size>
</available_space>
<allocated_space>
<start_address>0x24c</start_address>
<size>0x32</size>
<logical_group_ref idref="lg-5"/>
</allocated_space>
<available_space>
<start_address>0x27e</start_address>
<size>0x2</size>
</available_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOD</name>
<page_id>0x0</page_id>
<origin>0x1000</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOC</name>
<page_id>0x0</page_id>
<origin>0x1040</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOB</name>
<page_id>0x0</page_id>
<origin>0x1080</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOA</name>
<page_id>0x0</page_id>
<origin>0x10c0</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>FLASH</name>
<page_id>0x0</page_id>
<origin>0xf800</origin>
<length>0x7e0</length>
<used_space>0x104</used_space>
<unused_space>0x6dc</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xf800</start_address>
<size>0x104</size>
<logical_group_ref idref="lg-6"/>
</allocated_space>
<available_space>
<start_address>0xf904</start_address>
<size>0x6dc</size>
</available_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT00</name>
<page_id>0x0</page_id>
<origin>0xffe0</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT01</name>
<page_id>0x0</page_id>
<origin>0xffe2</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT02</name>
<page_id>0x0</page_id>
<origin>0xffe4</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffe4</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-14"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT03</name>
<page_id>0x0</page_id>
<origin>0xffe6</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffe6</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-16"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT04</name>
<page_id>0x0</page_id>
<origin>0xffe8</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffe8</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-18"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT05</name>
<page_id>0x0</page_id>
<origin>0xffea</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffea</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-1a"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT06</name>
<page_id>0x0</page_id>
<origin>0xffec</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT07</name>
<page_id>0x0</page_id>
<origin>0xffee</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT08</name>
<page_id>0x0</page_id>
<origin>0xfff0</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff0</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-1e"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT09</name>
<page_id>0x0</page_id>
<origin>0xfff2</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff2</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-20"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT10</name>
<page_id>0x0</page_id>
<origin>0xfff4</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff4</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-22"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT11</name>
<page_id>0x0</page_id>
<origin>0xfff6</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT12</name>
<page_id>0x0</page_id>
<origin>0xfff8</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT13</name>
<page_id>0x0</page_id>
<origin>0xfffa</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT14</name>
<page_id>0x0</page_id>
<origin>0xfffc</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfffc</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-27"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>RESET</name>
<page_id>0x0</page_id>
<origin>0xfffe</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfffe</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-29"/>
</allocated_space>
</usage_details>
</memory_area>
</placement_map>
<symbol_table>
<symbol id="sm-1">
<name>IE1</name>
<value>0x0</value>
</symbol>
<symbol id="sm-2">
<name>IFG1</name>
<value>0x2</value>
</symbol>
<symbol id="sm-3">
<name>ADC10DTC0</name>
<value>0x48</value>
</symbol>
<symbol id="sm-4">
<name>ADC10DTC1</name>
<value>0x49</value>
</symbol>
<symbol id="sm-5">
<name>ADC10AE0</name>
<value>0x4a</value>
</symbol>
<symbol id="sm-6">
<name>ADC10CTL0</name>
<value>0x1b0</value>
</symbol>
<symbol id="sm-7">
<name>ADC10CTL1</name>
<value>0x1b2</value>
</symbol>
<symbol id="sm-8">
<name>ADC10MEM</name>
<value>0x1b4</value>
</symbol>
<symbol id="sm-9">
<name>ADC10SA</name>
<value>0x1bc</value>
</symbol>
<symbol id="sm-a">
<name>DCOCTL</name>
<value>0x56</value>
</symbol>
<symbol id="sm-b">
<name>BCSCTL1</name>
<value>0x57</value>
</symbol>
<symbol id="sm-c">
<name>BCSCTL2</name>
<value>0x58</value>
</symbol>
<symbol id="sm-d">
<name>BCSCTL3</name>
<value>0x53</value>
</symbol>
<symbol id="sm-e">
<name>FCTL1</name>
<value>0x128</value>
</symbol>
<symbol id="sm-f">
<name>FCTL2</name>
<value>0x12a</value>
</symbol>
<symbol id="sm-10">
<name>FCTL3</name>
<value>0x12c</value>
</symbol>
<symbol id="sm-11">
<name>P1IN</name>
<value>0x20</value>
</symbol>
<symbol id="sm-12">
<name>P1OUT</name>
<value>0x21</value>
</symbol>
<symbol id="sm-13">
<name>P1DIR</name>
<value>0x22</value>
</symbol>
<symbol id="sm-14">
<name>P1IFG</name>
<value>0x23</value>
</symbol>
<symbol id="sm-15">
<name>P1IES</name>
<value>0x24</value>
</symbol>
<symbol id="sm-16">
<name>P1IE</name>
<value>0x25</value>
</symbol>
<symbol id="sm-17">
<name>P1SEL</name>
<value>0x26</value>
</symbol>
<symbol id="sm-18">
<name>P1REN</name>
<value>0x27</value>
</symbol>
<symbol id="sm-19">
<name>P2IN</name>
<value>0x28</value>
</symbol>
<symbol id="sm-1a">
<name>P2OUT</name>
<value>0x29</value>
</symbol>
<symbol id="sm-1b">
<name>P2DIR</name>
<value>0x2a</value>
</symbol>
<symbol id="sm-1c">
<name>P2IFG</name>
<value>0x2b</value>
</symbol>
<symbol id="sm-1d">
<name>P2IES</name>
<value>0x2c</value>
</symbol>
<symbol id="sm-1e">
<name>P2IE</name>
<value>0x2d</value>
</symbol>
<symbol id="sm-1f">
<name>P2SEL</name>
<value>0x2e</value>
</symbol>
<symbol id="sm-20">
<name>P2REN</name>
<value>0x2f</value>
</symbol>
<symbol id="sm-21">
<name>TAIV</name>
<value>0x12e</value>
</symbol>
<symbol id="sm-22">
<name>TACTL</name>
<value>0x160</value>
</symbol>
<symbol id="sm-23">
<name>TACCTL0</name>
<value>0x162</value>
</symbol>
<symbol id="sm-24">
<name>TACCTL1</name>
<value>0x164</value>
</symbol>
<symbol id="sm-25">
<name>TAR</name>
<value>0x170</value>
</symbol>
<symbol id="sm-26">
<name>TACCR0</name>
<value>0x172</value>
</symbol>
<symbol id="sm-27">
<name>TACCR1</name>
<value>0x174</value>
</symbol>
<symbol id="sm-28">
<name>USICTL0</name>
<value>0x78</value>
</symbol>
<symbol id="sm-29">
<name>USICTL1</name>
<value>0x79</value>
</symbol>
<symbol id="sm-2a">
<name>USICKCTL</name>
<value>0x7a</value>
</symbol>
<symbol id="sm-2b">
<name>USICNT</name>
<value>0x7b</value>
</symbol>
<symbol id="sm-2c">
<name>USISRL</name>
<value>0x7c</value>
</symbol>
<symbol id="sm-2d">
<name>USISRH</name>
<value>0x7d</value>
</symbol>
<symbol id="sm-2e">
<name>USICTL</name>
<value>0x78</value>
</symbol>
<symbol id="sm-2f">
<name>USICCTL</name>
<value>0x7a</value>
</symbol>
<symbol id="sm-30">
<name>USISR</name>
<value>0x7c</value>
</symbol>
<symbol id="sm-31">
<name>WDTCTL</name>
<value>0x120</value>
</symbol>
<symbol id="sm-32">
<name>CALDCO_1MHZ</name>
<value>0x10fe</value>
</symbol>
<symbol id="sm-33">
<name>CALBC1_1MHZ</name>
<value>0x10ff</value>
</symbol>
<symbol id="sm-34">
<name>binit</name>
<value>0xffffffff</value>
</symbol>
<symbol id="sm-35">
<name>__binit__</name>
<value>0xffffffff</value>
</symbol>
<symbol id="sm-36">
<name>__STACK_SIZE</name>
<value>0x32</value>
</symbol>
<symbol id="sm-37">
<name>__STACK_END</name>
<value>0x27e</value>
</symbol>
<symbol id="sm-38">
<name>__c_args__</name>
<value>0xffffffff</value>
</symbol>
<symbol id="sm-74">
<name>_c_int00_noexit</name>
<value>0xf8b8</value>
<object_component_ref idref="oc-32"/>
</symbol>
<symbol id="sm-75">
<name>_c_int00</name>
<value>0xf8b8</value>
<object_component_ref idref="oc-32"/>
</symbol>
<symbol id="sm-76">
<name>_stack</name>
<value>0x24c</value>
<object_component_ref idref="oc-46"/>
</symbol>
<symbol id="sm-77">
<name>_reset_vector</name>
<value>0xfffe</value>
<object_component_ref idref="oc-48"/>
</symbol>
<symbol id="sm-7f">
<name>__mspabi_func_epilog_2</name>
<value>0xf8f0</value>
<object_component_ref idref="oc-90"/>
</symbol>
<symbol id="sm-80">
<name>__mspabi_func_epilog_3</name>
<value>0xf8ee</value>
<object_component_ref idref="oc-90"/>
</symbol>
<symbol id="sm-81">
<name>__mspabi_func_epilog_1</name>
<value>0xf8f2</value>
<object_component_ref idref="oc-90"/>
</symbol>
<symbol id="sm-82">
<name>__mspabi_func_epilog_6</name>
<value>0xf8e8</value>
<object_component_ref idref="oc-90"/>
</symbol>
<symbol id="sm-83">
<name>__mspabi_func_epilog_7</name>
<value>0xf8e6</value>
<object_component_ref idref="oc-90"/>
</symbol>
<symbol id="sm-84">
<name>__mspabi_func_epilog_4</name>
<value>0xf8ec</value>
<object_component_ref idref="oc-90"/>
</symbol>
<symbol id="sm-85">
<name>__mspabi_func_epilog_5</name>
<value>0xf8ea</value>
<object_component_ref idref="oc-90"/>
</symbol>
<symbol id="sm-8e">
<name>C$$EXIT</name>
<value>0xf900</value>
<object_component_ref idref="oc-61"/>
</symbol>
<symbol id="sm-8f">
<name>abort</name>
<value>0xf900</value>
<object_component_ref idref="oc-61"/>
</symbol>
<symbol id="sm-92">
<name>__TI_int02</name>
<value>0xffe4</value>
<object_component_ref idref="oc-2a"/>
</symbol>
<symbol id="sm-95">
<name>__TI_int03</name>
<value>0xffe6</value>
<object_component_ref idref="oc-2b"/>
</symbol>
<symbol id="sm-98">
<name>__TI_int05</name>
<value>0xffea</value>
<object_component_ref idref="oc-2d"/>
</symbol>
<symbol id="sm-9b">
<name>__TI_int08</name>
<value>0xfff0</value>
<object_component_ref idref="oc-2e"/>
</symbol>
<symbol id="sm-9e">
<name>__TI_int09</name>
<value>0xfff2</value>
<object_component_ref idref="oc-2f"/>
</symbol>
<symbol id="sm-a1">
<name>__TI_int10</name>
<value>0xfff4</value>
<object_component_ref idref="oc-30"/>
</symbol>
<symbol id="sm-a4">
<name>__TI_int14</name>
<value>0xfffc</value>
<object_component_ref idref="oc-31"/>
</symbol>
<symbol id="sm-ab">
<name>__TI_ISR_TRAP</name>
<value>0xf8f6</value>
<object_component_ref idref="oc-49"/>
</symbol>
<symbol id="sm-c1">
<name>_auto_init</name>
<value>0xf800</value>
<object_component_ref idref="oc-57"/>
</symbol>
<symbol id="sm-d7">
<name>__TI_int04</name>
<value>0xffe8</value>
<object_component_ref idref="oc-2c"/>
</symbol>
<symbol id="sm-d8">
<name>USI_SPI_Vector</name>
<value>0xf8d2</value>
<object_component_ref idref="oc-4d"/>
</symbol>
<symbol id="sm-d9">
<name>main</name>
<value>0xf85e</value>
<object_component_ref idref="oc-5c"/>
</symbol>
<symbol id="sm-da">
<name>_system_pre_init</name>
<value>0xf8fc</value>
<object_component_ref idref="oc-52"/>
</symbol>
<symbol id="sm-df">
<name>__TI_exit</name>
<value>0x1</value>
</symbol>
<symbol id="sm-e0">
<name>__TI_args_main</name>
<value>0x1</value>
</symbol>
<symbol id="sm-e1">
<name>__TI_CINIT_Base</name>
<value>0x0</value>
</symbol>
<symbol id="sm-e2">
<name>__TI_INITARRAY_Base</name>
<value>0x0</value>
</symbol>
<symbol id="sm-e3">
<name>__TI_Handler_Table_Limit</name>
<value>0x0</value>
</symbol>
<symbol id="sm-e4">
<name>__TI_INITARRAY_Limit</name>
<value>0x0</value>
</symbol>
<symbol id="sm-e5">
<name>__TI_Handler_Table_Base</name>
<value>0x0</value>
</symbol>
<symbol id="sm-e6">
<name>__TI_CINIT_Limit</name>
<value>0x0</value>
</symbol>
</symbol_table>
<title>Link successful</title>
</link_info>