Subversion Repositories Code-Repo

Compare Revisions

No changes between revisions

Ignore whitespace Rev 247 → Rev 248

/MSP430/MSP430_Audio_Cube/.ccsproject
0,0 → 1,13
<?xml version="1.0" encoding="UTF-8" ?>
<?ccsproject version="1.0"?>
<projectOptions>
<deviceVariant value="MSP430G2231"/>
<deviceFamily value="MSP430"/>
<codegenToolVersion value="4.2.1"/>
<isElfFormat value="true"/>
<connection value="common/targetdb/connections/TIMSP430-USB.xml"/>
<linkerCommandFile value="lnk_msp430g2231.cmd"/>
<rts value="libc.a"/>
<templateProperties value="id=com.ti.common.project.core.emptyProjectWithMainTemplate_msp430,"/>
<isTargetManual value="false"/>
</projectOptions>
/MSP430/MSP430_Audio_Cube/.cproject
0,0 → 1,179
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<?fileVersion 4.0.0?>
 
<cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">
<storageModule configRelations="2" moduleId="org.eclipse.cdt.core.settings">
<cconfiguration id="com.ti.ccstudio.buildDefinitions.MSP430.Debug.1717714940">
<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.ti.ccstudio.buildDefinitions.MSP430.Debug.1717714940" moduleId="org.eclipse.cdt.core.settings" name="Debug">
<externalSettings/>
<extensions>
<extension id="com.ti.ccstudio.binaryparser.CoffParser" point="org.eclipse.cdt.core.BinaryParser"/>
<extension id="com.ti.ccstudio.errorparser.CoffErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="com.ti.ccstudio.errorparser.LinkErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="com.ti.ccstudio.errorparser.AsmErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
</extensions>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
<configuration artifactExtension="out" artifactName="${ProjName}" buildProperties="" cleanCommand="${CG_CLEAN_CMD}" description="" id="com.ti.ccstudio.buildDefinitions.MSP430.Debug.1717714940" name="Debug" parent="com.ti.ccstudio.buildDefinitions.MSP430.Debug">
<folderInfo id="com.ti.ccstudio.buildDefinitions.MSP430.Debug.1717714940." name="/" resourcePath="">
<toolChain id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.DebugToolchain.2065485195" name="TI Build Tools" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.DebugToolchain" targetTool="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.linkerDebug.1179055826">
<option id="com.ti.ccstudio.buildDefinitions.core.OPT_TAGS.1899788944" superClass="com.ti.ccstudio.buildDefinitions.core.OPT_TAGS" valueType="stringList">
<listOptionValue builtIn="false" value="DEVICE_CONFIGURATION_ID=MSP430G2553"/>
<listOptionValue builtIn="false" value="OUTPUT_FORMAT=ELF"/>
<listOptionValue builtIn="false" value="CCS_MBS_VERSION=5.5.0"/>
<listOptionValue builtIn="false" value="LINKER_COMMAND_FILE=lnk_msp430g2553.cmd"/>
<listOptionValue builtIn="false" value="RUNTIME_SUPPORT_LIBRARY=libc.a"/>
<listOptionValue builtIn="false" value="OUTPUT_TYPE=executable"/>
</option>
<option id="com.ti.ccstudio.buildDefinitions.core.OPT_CODEGEN_VERSION.622734528" name="Compiler version" superClass="com.ti.ccstudio.buildDefinitions.core.OPT_CODEGEN_VERSION" value="4.2.1" valueType="string"/>
<targetPlatform id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.targetPlatformDebug.857143867" name="Platform" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.targetPlatformDebug"/>
<builder buildPath="${BuildDirectory}" id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.builderDebug.1160896875" keepEnvironmentInBuildfile="false" name="GNU Make" parallelBuildOn="false" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.builderDebug"/>
<tool id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.compilerDebug.1014148247" name="MSP430 Compiler" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.compilerDebug">
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.SILICON_VERSION.2082551406" name="Silicon version (--silicon_version, -v)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.SILICON_VERSION" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.SILICON_VERSION.msp" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DEFINE.1006513326" name="Pre-define NAME (--define, -D)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DEFINE" valueType="definedSymbols">
<listOptionValue builtIn="false" value="__MSP430G2553__"/>
</option>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.PRINTF_SUPPORT.371970179" name="Level of printf/scanf support required (--printf_support)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.PRINTF_SUPPORT" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.PRINTF_SUPPORT.minimal" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.ABI.1176118498" name="Application binary interface [See 'General' page to edit] (--abi)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.ABI" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.ABI.eabi" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.OPT_LEVEL.167272745" name="Optimization level (--opt_level, -O)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.OPT_LEVEL" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.OPT_LEVEL.off" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.INCLUDE_PATH.105242099" name="Add dir to #include search path (--include_path, -I)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.INCLUDE_PATH" valueType="includePath">
<listOptionValue builtIn="false" value="&quot;${CCS_BASE_ROOT}/msp430/include&quot;"/>
<listOptionValue builtIn="false" value="&quot;${CG_TOOL_ROOT}/include&quot;"/>
</option>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DEBUGGING_MODEL.2118787830" name="Debugging model" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DEBUGGING_MODEL" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DEBUGGING_MODEL.SYMDEBUG__DWARF" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DIAG_WARNING.386877839" name="Treat diagnostic &lt;id&gt; as warning (--diag_warning, -pdsw)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DIAG_WARNING" valueType="stringList">
<listOptionValue builtIn="false" value="225"/>
</option>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DISPLAY_ERROR_NUMBER.1631786601" name="Emit diagnostic identifier numbers (--display_error_number, -pden)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DISPLAY_ERROR_NUMBER" value="true" valueType="boolean"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DIAG_WRAP.1530752290" name="Wrap diagnostic messages (--diag_wrap)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DIAG_WRAP" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DIAG_WRAP.off" valueType="enumerated"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__C_SRCS.457281545" name="C Sources" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__C_SRCS"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__CPP_SRCS.780931535" name="C++ Sources" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__CPP_SRCS"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__ASM_SRCS.347686739" name="Assembly Sources" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__ASM_SRCS"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__ASM2_SRCS.1148386440" name="Assembly Sources" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__ASM2_SRCS"/>
</tool>
<tool id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.linkerDebug.1179055826" name="MSP430 Linker" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.linkerDebug">
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.HEAP_SIZE.42651522" name="Heap size for C/C++ dynamic memory allocation (--heap_size, -heap)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.HEAP_SIZE" value="80" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.STACK_SIZE.681580373" name="Set C system stack size (--stack_size, -stack)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.STACK_SIZE" value="80" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.OUTPUT_FILE.1138098778" name="Specify output file name (--output_file, -o)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.OUTPUT_FILE" value="&quot;${ProjName}.out&quot;" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.MAP_FILE.1802452996" name="Input and output sections listed into &lt;file&gt; (--map_file, -m)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.MAP_FILE" value="&quot;${ProjName}.map&quot;" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.SEARCH_PATH.447130654" name="Add &lt;dir&gt; to library search path (--search_path, -i)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.SEARCH_PATH" valueType="libPaths">
<listOptionValue builtIn="false" value="&quot;${CCS_BASE_ROOT}/msp430/include&quot;"/>
<listOptionValue builtIn="false" value="&quot;${CG_TOOL_ROOT}/lib&quot;"/>
<listOptionValue builtIn="false" value="&quot;${CG_TOOL_ROOT}/include&quot;"/>
</option>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.DISPLAY_ERROR_NUMBER.1658991631" name="Emit diagnostic identifier numbers (--display_error_number)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.DISPLAY_ERROR_NUMBER" value="true" valueType="boolean"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.DIAG_WRAP.196510275" name="Wrap diagnostic messages (--diag_wrap)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.DIAG_WRAP" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.DIAG_WRAP.off" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.XML_LINK_INFO.1607229780" name="Detailed link information data-base into &lt;file&gt; (--xml_link_info, -xml_link_info)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.XML_LINK_INFO" value="&quot;${ProjName}_linkInfo.xml&quot;" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.LIBRARY.1714663703" name="Include library file or command file as input (--library, -l)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.LIBRARY" valueType="libs">
<listOptionValue builtIn="false" value="&quot;libc.a&quot;"/>
</option>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__CMD_SRCS.646345309" name="Linker Command Files" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__CMD_SRCS"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__CMD2_SRCS.877501338" name="Linker Command Files" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__CMD2_SRCS"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__GEN_CMDS.744111781" name="Generated Linker Command Files" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__GEN_CMDS"/>
</tool>
</toolChain>
</folderInfo>
<sourceEntries>
<entry excluding="lnk_msp430g2452.cmd|lnk_msp430g2231.cmd|lnk_msp430g2453.cmd" flags="VALUE_WORKSPACE_PATH|RESOLVED" kind="sourcePath" name=""/>
</sourceEntries>
</configuration>
</storageModule>
<storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
</cconfiguration>
<cconfiguration id="com.ti.ccstudio.buildDefinitions.MSP430.Release.2034998959">
<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.ti.ccstudio.buildDefinitions.MSP430.Release.2034998959" moduleId="org.eclipse.cdt.core.settings" name="Release">
<externalSettings/>
<extensions>
<extension id="com.ti.ccstudio.binaryparser.CoffParser" point="org.eclipse.cdt.core.BinaryParser"/>
<extension id="com.ti.ccstudio.errorparser.CoffErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="com.ti.ccstudio.errorparser.LinkErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="com.ti.ccstudio.errorparser.AsmErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
</extensions>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
<configuration artifactExtension="out" artifactName="${ProjName}" buildProperties="" cleanCommand="${CG_CLEAN_CMD}" description="" id="com.ti.ccstudio.buildDefinitions.MSP430.Release.2034998959" name="Release" parent="com.ti.ccstudio.buildDefinitions.MSP430.Release">
<folderInfo id="com.ti.ccstudio.buildDefinitions.MSP430.Release.2034998959." name="/" resourcePath="">
<toolChain id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.ReleaseToolchain.1628771736" name="TI Build Tools" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.ReleaseToolchain" targetTool="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.linkerRelease.1660460902">
<option id="com.ti.ccstudio.buildDefinitions.core.OPT_TAGS.598034057" superClass="com.ti.ccstudio.buildDefinitions.core.OPT_TAGS" valueType="stringList">
<listOptionValue builtIn="false" value="DEVICE_CONFIGURATION_ID=MSP430G2553"/>
<listOptionValue builtIn="false" value="OUTPUT_FORMAT=ELF"/>
<listOptionValue builtIn="false" value="CCS_MBS_VERSION=5.5.0"/>
<listOptionValue builtIn="false" value="LINKER_COMMAND_FILE=lnk_msp430g2553.cmd"/>
<listOptionValue builtIn="false" value="RUNTIME_SUPPORT_LIBRARY=libc.a"/>
<listOptionValue builtIn="false" value="OUTPUT_TYPE=executable"/>
</option>
<option id="com.ti.ccstudio.buildDefinitions.core.OPT_CODEGEN_VERSION.1881207371" name="Compiler version" superClass="com.ti.ccstudio.buildDefinitions.core.OPT_CODEGEN_VERSION" value="4.2.1" valueType="string"/>
<targetPlatform id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.targetPlatformRelease.1551154892" name="Platform" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.targetPlatformRelease"/>
<builder buildPath="${BuildDirectory}" id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.builderRelease.2029645259" keepEnvironmentInBuildfile="false" name="GNU Make" parallelBuildOn="false" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.builderRelease"/>
<tool id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.compilerRelease.772431778" name="MSP430 Compiler" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.compilerRelease">
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.SILICON_VERSION.262162155" name="Silicon version (--silicon_version, -v)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.SILICON_VERSION" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.SILICON_VERSION.msp" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DEFINE.2086002081" name="Pre-define NAME (--define, -D)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DEFINE" valueType="definedSymbols">
<listOptionValue builtIn="false" value="__MSP430G2553__"/>
</option>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.PRINTF_SUPPORT.419432645" name="Level of printf/scanf support required (--printf_support)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.PRINTF_SUPPORT" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.PRINTF_SUPPORT.minimal" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.ABI.1999651833" name="Application binary interface [See 'General' page to edit] (--abi)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.ABI" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.ABI.eabi" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.OPT_LEVEL.release.1812658859" name="Optimization level (--opt_level, -O)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.OPT_LEVEL.release" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.OPT_LEVEL.4" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.OPT_FOR_SPEED.1909135124" name="Speed vs. size trade-offs (--opt_for_speed, -mf)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.OPT_FOR_SPEED" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.OPT_FOR_SPEED.5" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.INCLUDE_PATH.489556619" name="Add dir to #include search path (--include_path, -I)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.INCLUDE_PATH" valueType="includePath">
<listOptionValue builtIn="false" value="&quot;${CCS_BASE_ROOT}/msp430/include&quot;"/>
<listOptionValue builtIn="false" value="&quot;${CG_TOOL_ROOT}/include&quot;"/>
</option>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.ADVICE__POWER.2058983767" name="Enable checking of ULP power rules (--advice:power)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.ADVICE__POWER" value="&quot;all&quot;" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DIAG_WARNING.187795310" name="Treat diagnostic &lt;id&gt; as warning (--diag_warning, -pdsw)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DIAG_WARNING" valueType="stringList">
<listOptionValue builtIn="false" value="225"/>
</option>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DISPLAY_ERROR_NUMBER.854778601" name="Emit diagnostic identifier numbers (--display_error_number, -pden)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DISPLAY_ERROR_NUMBER" value="true" valueType="boolean"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DIAG_WRAP.242556780" name="Wrap diagnostic messages (--diag_wrap)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DIAG_WRAP" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compilerID.DIAG_WRAP.off" valueType="enumerated"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__C_SRCS.1942787048" name="C Sources" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__C_SRCS"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__CPP_SRCS.824194648" name="C++ Sources" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__CPP_SRCS"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__ASM_SRCS.1650490680" name="Assembly Sources" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__ASM_SRCS"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__ASM2_SRCS.2138018140" name="Assembly Sources" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.compiler.inputType__ASM2_SRCS"/>
</tool>
<tool id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.linkerRelease.1660460902" name="MSP430 Linker" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exe.linkerRelease">
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.HEAP_SIZE.283213000" name="Heap size for C/C++ dynamic memory allocation (--heap_size, -heap)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.HEAP_SIZE" value="80" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.STACK_SIZE.1206225958" name="Set C system stack size (--stack_size, -stack)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.STACK_SIZE" value="80" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.OUTPUT_FILE.2072510543" name="Specify output file name (--output_file, -o)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.OUTPUT_FILE" value="&quot;${ProjName}.out&quot;" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.MAP_FILE.1089100589" name="Input and output sections listed into &lt;file&gt; (--map_file, -m)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.MAP_FILE" value="&quot;${ProjName}.map&quot;" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.SEARCH_PATH.1855398728" name="Add &lt;dir&gt; to library search path (--search_path, -i)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.SEARCH_PATH" valueType="libPaths">
<listOptionValue builtIn="false" value="&quot;${CCS_BASE_ROOT}/msp430/include&quot;"/>
<listOptionValue builtIn="false" value="&quot;${CG_TOOL_ROOT}/lib&quot;"/>
<listOptionValue builtIn="false" value="&quot;${CG_TOOL_ROOT}/include&quot;"/>
</option>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.DISPLAY_ERROR_NUMBER.2049234367" name="Emit diagnostic identifier numbers (--display_error_number)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.DISPLAY_ERROR_NUMBER" value="true" valueType="boolean"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.DIAG_WRAP.386853167" name="Wrap diagnostic messages (--diag_wrap)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.DIAG_WRAP" value="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.DIAG_WRAP.off" valueType="enumerated"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.XML_LINK_INFO.1156577223" name="Detailed link information data-base into &lt;file&gt; (--xml_link_info, -xml_link_info)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.XML_LINK_INFO" value="&quot;${ProjName}_linkInfo.xml&quot;" valueType="string"/>
<option id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.LIBRARY.41533112" name="Include library file or command file as input (--library, -l)" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.linkerID.LIBRARY" valueType="libs">
<listOptionValue builtIn="false" value="&quot;libc.a&quot;"/>
</option>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__CMD_SRCS.134962422" name="Linker Command Files" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__CMD_SRCS"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__CMD2_SRCS.333797821" name="Linker Command Files" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__CMD2_SRCS"/>
<inputType id="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__GEN_CMDS.1115377560" name="Generated Linker Command Files" superClass="com.ti.ccstudio.buildDefinitions.MSP430_4.2.exeLinker.inputType__GEN_CMDS"/>
</tool>
</toolChain>
</folderInfo>
<sourceEntries>
<entry excluding="lnk_msp430g2452.cmd|lnk_msp430g2453.cmd|lnk_msp430g2231.cmd" flags="VALUE_WORKSPACE_PATH|RESOLVED" kind="sourcePath" name=""/>
</sourceEntries>
</configuration>
</storageModule>
<storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
</cconfiguration>
</storageModule>
<storageModule moduleId="org.eclipse.cdt.core.LanguageSettingsProviders"/>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
<project id="MSP430_G2231.com.ti.ccstudio.buildDefinitions.MSP430.ProjectType.1291860156" name="MSP430" projectType="com.ti.ccstudio.buildDefinitions.MSP430.ProjectType"/>
</storageModule>
<storageModule moduleId="scannerConfiguration"/>
<storageModule moduleId="org.eclipse.cdt.core.language.mapping">
<project-mappings>
<content-type-mapping configuration="" content-type="org.eclipse.cdt.core.asmSource" language="com.ti.ccstudio.core.TIASMLanguage"/>
<content-type-mapping configuration="" content-type="org.eclipse.cdt.core.cHeader" language="com.ti.ccstudio.core.TIGCCLanguage"/>
<content-type-mapping configuration="" content-type="org.eclipse.cdt.core.cSource" language="com.ti.ccstudio.core.TIGCCLanguage"/>
<content-type-mapping configuration="" content-type="org.eclipse.cdt.core.cxxHeader" language="com.ti.ccstudio.core.TIGPPLanguage"/>
<content-type-mapping configuration="" content-type="org.eclipse.cdt.core.cxxSource" language="com.ti.ccstudio.core.TIGPPLanguage"/>
</project-mappings>
</storageModule>
<storageModule moduleId="refreshScope"/>
<storageModule moduleId="null.endianPreference"/>
<storageModule moduleId="cpuFamily"/>
</cproject>
/MSP430/MSP430_Audio_Cube/.launches/MSP430_Audio_Project.launch
0,0 → 1,32
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<launchConfiguration type="com.ti.ccstudio.debug.launchType.device.debugging">
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_CPUS_WITH_PROJECT" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;&#13;&#10;&lt;cpus_with_project&gt;&#13;&#10;&lt;id id=&quot;TI MSP430 USB1/MSP430&quot; isa=&quot;MSP430&quot;/&gt;&#13;&#10;&lt;/cpus_with_project&gt;"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_DEBUGGER_PROPERTIES.TI MSP430 USB1/MSP430" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot; ?&gt;&#10;&lt;PropertyValues&gt;&#10;&#10; &lt;property id=&quot;ConnectOnStartup&quot;&gt;&#10; &lt;curValue&gt;1&lt;/curValue&gt;&#10; &lt;/property&gt;&#10;&#10; &lt;property id=&quot;EnableInstalledBreakpoint&quot;&gt;&#10; &lt;curValue&gt;1&lt;/curValue&gt;&#10; &lt;/property&gt;&#10;&#10;&lt;/PropertyValues&gt;&#10;"/>
<setAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_HIDE_CONFIG_ELEMENT_TYPES">
<setEntry value="BOARD"/>
<setEntry value="BYPASSED_CPU"/>
<setEntry value="CONNECTION"/>
<setEntry value="DEVICE"/>
<setEntry value="NON_DEBUG_CPU"/>
<setEntry value="NO_DRIVER"/>
<setEntry value="ROUTER"/>
<setEntry value="SUBPATH"/>
<setEntry value="SYSTEM"/>
</setAttribute>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_PROGRAM.TI MSP430 USB1/MSP430" value="${build_artifact:MSP430_Audio_Project}"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_PROJECT.TI MSP430 USB1/MSP430" value="MSP430_Audio_Project"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_TARGET_CONFIG" value="${target_config_active_default:MSP430_Audio_Project}"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.MRU_PROGRAM.TI MSP430 USB1/MSP430" value="C:/Users\Kevin\Documents\Code\MSP430_Audio_Project\Release\MSP430_Audio_Project.out"/>
<mapAttribute key="com.ti.ccstudio.debug.ui.displayFormats">
<mapEntry key="TI MSP430 USB1/MSP430@##separator##@0" value="16-Bit Hex - TI Style"/>
</mapAttribute>
<listAttribute key="org.eclipse.debug.core.MAPPED_RESOURCE_PATHS">
<listEntry value="/MSP430_Audio_Project"/>
</listAttribute>
<listAttribute key="org.eclipse.debug.core.MAPPED_RESOURCE_TYPES">
<listEntry value="4"/>
</listAttribute>
<stringAttribute key="org.eclipse.debug.core.source_locator_id" value="com.ti.ccstudio.debug.sourceLocator"/>
<stringAttribute key="org.eclipse.debug.core.source_locator_memento" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#13;&#10;&lt;sourceLookupDirector&gt;&#13;&#10;&lt;sourceContainers duplicates=&quot;false&quot;&gt;&#13;&#10;&lt;container memento=&quot;&amp;lt;?xml version=&amp;quot;1.0&amp;quot; encoding=&amp;quot;UTF-8&amp;quot; standalone=&amp;quot;no&amp;quot;?&amp;gt;&amp;#13;&amp;#10;&amp;lt;default/&amp;gt;&amp;#13;&amp;#10;&quot; typeId=&quot;org.eclipse.debug.core.containerType.default&quot;/&gt;&#13;&#10;&lt;container memento=&quot;&amp;lt;?xml version=&amp;quot;1.0&amp;quot; encoding=&amp;quot;UTF-8&amp;quot; standalone=&amp;quot;no&amp;quot;?&amp;gt;&amp;#13;&amp;#10;&amp;lt;cpuSpecificContainer cpuName=&amp;quot;TI MSP430 USB1/MSP430&amp;quot;&amp;gt;&amp;#13;&amp;#10;&amp;lt;childContainerEntry childMemento=&amp;quot;&amp;amp;lt;?xml version=&amp;amp;quot;1.0&amp;amp;quot; encoding=&amp;amp;quot;UTF-8&amp;amp;quot; standalone=&amp;amp;quot;no&amp;amp;quot;?&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;amp;lt;default/&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;quot; childType=&amp;quot;org.eclipse.debug.core.containerType.default&amp;quot;/&amp;gt;&amp;#13;&amp;#10;&amp;lt;childContainerEntry childMemento=&amp;quot;&amp;amp;lt;?xml version=&amp;amp;quot;1.0&amp;amp;quot; encoding=&amp;amp;quot;UTF-8&amp;amp;quot; standalone=&amp;amp;quot;no&amp;amp;quot;?&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;amp;lt;project name=&amp;amp;quot;MSP430_Audio_Project&amp;amp;quot; referencedProjects=&amp;amp;quot;true&amp;amp;quot;/&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;quot; childType=&amp;quot;org.eclipse.debug.core.containerType.project&amp;quot;/&amp;gt;&amp;#13;&amp;#10;&amp;lt;/cpuSpecificContainer&amp;gt;&amp;#13;&amp;#10;&quot; typeId=&quot;com.ti.ccstudio.debug.containerType.cpu.specific&quot;/&gt;&#13;&#10;&lt;/sourceContainers&gt;&#13;&#10;&lt;/sourceLookupDirector&gt;&#13;&#10;"/>
<stringAttribute key="org.eclipse.dsf.launch.MEMORY_BLOCKS" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#13;&#10;&lt;memoryBlockExpressionList context=&quot;reserved-for-future-use&quot;/&gt;&#13;&#10;"/>
</launchConfiguration>
/MSP430/MSP430_Audio_Cube/.launches/MSP430_G2231.launch
0,0 → 1,28
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<launchConfiguration type="com.ti.ccstudio.debug.launchType.device.debugging">
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_CPUS_WITH_PROJECT" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;&#13;&#10;&lt;cpus_with_project&gt;&#13;&#10;&lt;id id=&quot;TI MSP430 USB1/MSP430&quot; isa=&quot;MSP430&quot;/&gt;&#13;&#10;&lt;/cpus_with_project&gt;"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_DEBUGGER_PROPERTIES.TI MSP430 USB1/MSP430" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot; ?&gt;&#10;&lt;PropertyValues&gt;&#10;&#10; &lt;property id=&quot;ConnectOnStartup&quot;&gt;&#10; &lt;curValue&gt;1&lt;/curValue&gt;&#10; &lt;/property&gt;&#10;&#10; &lt;property id=&quot;EnableInstalledBreakpoint&quot;&gt;&#10; &lt;curValue&gt;1&lt;/curValue&gt;&#10; &lt;/property&gt;&#10;&#10;&lt;/PropertyValues&gt;&#10;"/>
<setAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_HIDE_CONFIG_ELEMENT_TYPES">
<setEntry value="BOARD"/>
<setEntry value="BYPASSED_CPU"/>
<setEntry value="CONNECTION"/>
<setEntry value="DEVICE"/>
<setEntry value="NON_DEBUG_CPU"/>
<setEntry value="NO_DRIVER"/>
<setEntry value="ROUTER"/>
<setEntry value="SUBPATH"/>
<setEntry value="SYSTEM"/>
</setAttribute>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_PROGRAM.TI MSP430 USB1/MSP430" value="${build_artifact:MSP430_G2231}"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_PROJECT.TI MSP430 USB1/MSP430" value="MSP430_G2231"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_TARGET_CONFIG" value="${target_config_active_default:MSP430_G2231}"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.MRU_PROGRAM.TI MSP430 USB1/MSP430" value="C:/Users\Kevin\Documents\Code\MSP430_G2231\Debug\MSP430_G2231.out"/>
<listAttribute key="org.eclipse.debug.core.MAPPED_RESOURCE_PATHS">
<listEntry value="/MSP430_G2231"/>
</listAttribute>
<listAttribute key="org.eclipse.debug.core.MAPPED_RESOURCE_TYPES">
<listEntry value="4"/>
</listAttribute>
<stringAttribute key="org.eclipse.debug.core.source_locator_id" value="com.ti.ccstudio.debug.sourceLocator"/>
<stringAttribute key="org.eclipse.debug.core.source_locator_memento" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#13;&#10;&lt;sourceLookupDirector&gt;&#13;&#10;&lt;sourceContainers duplicates=&quot;false&quot;&gt;&#13;&#10;&lt;container memento=&quot;&amp;lt;?xml version=&amp;quot;1.0&amp;quot; encoding=&amp;quot;UTF-8&amp;quot; standalone=&amp;quot;no&amp;quot;?&amp;gt;&amp;#13;&amp;#10;&amp;lt;default/&amp;gt;&amp;#13;&amp;#10;&quot; typeId=&quot;org.eclipse.debug.core.containerType.default&quot;/&gt;&#13;&#10;&lt;container memento=&quot;&amp;lt;?xml version=&amp;quot;1.0&amp;quot; encoding=&amp;quot;UTF-8&amp;quot; standalone=&amp;quot;no&amp;quot;?&amp;gt;&amp;#13;&amp;#10;&amp;lt;cpuSpecificContainer cpuName=&amp;quot;TI MSP430 USB1/MSP430&amp;quot;&amp;gt;&amp;#13;&amp;#10;&amp;lt;childContainerEntry childMemento=&amp;quot;&amp;amp;lt;?xml version=&amp;amp;quot;1.0&amp;amp;quot; encoding=&amp;amp;quot;UTF-8&amp;amp;quot; standalone=&amp;amp;quot;no&amp;amp;quot;?&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;amp;lt;default/&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;quot; childType=&amp;quot;org.eclipse.debug.core.containerType.default&amp;quot;/&amp;gt;&amp;#13;&amp;#10;&amp;lt;childContainerEntry childMemento=&amp;quot;&amp;amp;lt;?xml version=&amp;amp;quot;1.0&amp;amp;quot; encoding=&amp;amp;quot;UTF-8&amp;amp;quot; standalone=&amp;amp;quot;no&amp;amp;quot;?&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;amp;lt;project name=&amp;amp;quot;MSP430_G2231&amp;amp;quot; referencedProjects=&amp;amp;quot;true&amp;amp;quot;/&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;quot; childType=&amp;quot;org.eclipse.debug.core.containerType.project&amp;quot;/&amp;gt;&amp;#13;&amp;#10;&amp;lt;/cpuSpecificContainer&amp;gt;&amp;#13;&amp;#10;&quot; typeId=&quot;com.ti.ccstudio.debug.containerType.cpu.specific&quot;/&gt;&#13;&#10;&lt;/sourceContainers&gt;&#13;&#10;&lt;/sourceLookupDirector&gt;&#13;&#10;"/>
</launchConfiguration>
/MSP430/MSP430_Audio_Cube/.launches/MSP430_G2231_Audio_Project.launch
0,0 → 1,32
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<launchConfiguration type="com.ti.ccstudio.debug.launchType.device.debugging">
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_CPUS_WITH_PROJECT" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;&#13;&#10;&lt;cpus_with_project&gt;&#13;&#10;&lt;id id=&quot;TI MSP430 USB1/MSP430&quot; isa=&quot;MSP430&quot;/&gt;&#13;&#10;&lt;/cpus_with_project&gt;"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_DEBUGGER_PROPERTIES.TI MSP430 USB1/MSP430" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot; ?&gt;&#10;&lt;PropertyValues&gt;&#10;&#10; &lt;property id=&quot;ConnectOnStartup&quot;&gt;&#10; &lt;curValue&gt;1&lt;/curValue&gt;&#10; &lt;/property&gt;&#10;&#10; &lt;property id=&quot;EnableInstalledBreakpoint&quot;&gt;&#10; &lt;curValue&gt;1&lt;/curValue&gt;&#10; &lt;/property&gt;&#10;&#10;&lt;/PropertyValues&gt;&#10;"/>
<setAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_HIDE_CONFIG_ELEMENT_TYPES">
<setEntry value="BOARD"/>
<setEntry value="BYPASSED_CPU"/>
<setEntry value="CONNECTION"/>
<setEntry value="DEVICE"/>
<setEntry value="NON_DEBUG_CPU"/>
<setEntry value="NO_DRIVER"/>
<setEntry value="ROUTER"/>
<setEntry value="SUBPATH"/>
<setEntry value="SYSTEM"/>
</setAttribute>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_PROGRAM.TI MSP430 USB1/MSP430" value="${build_artifact:MSP430_G2231_Audio_Project}"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_PROJECT.TI MSP430 USB1/MSP430" value="MSP430_G2231_Audio_Project"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.ATTR_TARGET_CONFIG" value="${target_config_active_default:MSP430_G2231_Audio_Project}"/>
<stringAttribute key="com.ti.ccstudio.debug.debugModel.MRU_PROGRAM.TI MSP430 USB1/MSP430" value="C:/Users\Kevin\Documents\Code\MSP430_G2231_Audio_Project\Debug\MSP430_G2231_Audio_Project.out"/>
<mapAttribute key="com.ti.ccstudio.debug.ui.displayFormats">
<mapEntry key="TI MSP430 USB1/MSP430@##separator##@0" value="16-Bit Hex - TI Style"/>
</mapAttribute>
<listAttribute key="org.eclipse.debug.core.MAPPED_RESOURCE_PATHS">
<listEntry value="/MSP430_G2231_Audio_Project"/>
</listAttribute>
<listAttribute key="org.eclipse.debug.core.MAPPED_RESOURCE_TYPES">
<listEntry value="4"/>
</listAttribute>
<stringAttribute key="org.eclipse.debug.core.source_locator_id" value="com.ti.ccstudio.debug.sourceLocator"/>
<stringAttribute key="org.eclipse.debug.core.source_locator_memento" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#13;&#10;&lt;sourceLookupDirector&gt;&#13;&#10;&lt;sourceContainers duplicates=&quot;false&quot;&gt;&#13;&#10;&lt;container memento=&quot;&amp;lt;?xml version=&amp;quot;1.0&amp;quot; encoding=&amp;quot;UTF-8&amp;quot; standalone=&amp;quot;no&amp;quot;?&amp;gt;&amp;#13;&amp;#10;&amp;lt;default/&amp;gt;&amp;#13;&amp;#10;&quot; typeId=&quot;org.eclipse.debug.core.containerType.default&quot;/&gt;&#13;&#10;&lt;container memento=&quot;&amp;lt;?xml version=&amp;quot;1.0&amp;quot; encoding=&amp;quot;UTF-8&amp;quot; standalone=&amp;quot;no&amp;quot;?&amp;gt;&amp;#13;&amp;#10;&amp;lt;cpuSpecificContainer cpuName=&amp;quot;TI MSP430 USB1/MSP430&amp;quot;&amp;gt;&amp;#13;&amp;#10;&amp;lt;childContainerEntry childMemento=&amp;quot;&amp;amp;lt;?xml version=&amp;amp;quot;1.0&amp;amp;quot; encoding=&amp;amp;quot;UTF-8&amp;amp;quot; standalone=&amp;amp;quot;no&amp;amp;quot;?&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;amp;lt;default/&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;quot; childType=&amp;quot;org.eclipse.debug.core.containerType.default&amp;quot;/&amp;gt;&amp;#13;&amp;#10;&amp;lt;childContainerEntry childMemento=&amp;quot;&amp;amp;lt;?xml version=&amp;amp;quot;1.0&amp;amp;quot; encoding=&amp;amp;quot;UTF-8&amp;amp;quot; standalone=&amp;amp;quot;no&amp;amp;quot;?&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;amp;lt;project name=&amp;amp;quot;MSP430_G2231_Audio_Project&amp;amp;quot; referencedProjects=&amp;amp;quot;true&amp;amp;quot;/&amp;amp;gt;&amp;amp;#13;&amp;amp;#10;&amp;quot; childType=&amp;quot;org.eclipse.debug.core.containerType.project&amp;quot;/&amp;gt;&amp;#13;&amp;#10;&amp;lt;/cpuSpecificContainer&amp;gt;&amp;#13;&amp;#10;&quot; typeId=&quot;com.ti.ccstudio.debug.containerType.cpu.specific&quot;/&gt;&#13;&#10;&lt;/sourceContainers&gt;&#13;&#10;&lt;/sourceLookupDirector&gt;&#13;&#10;"/>
<stringAttribute key="org.eclipse.dsf.launch.MEMORY_BLOCKS" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#13;&#10;&lt;memoryBlockExpressionList context=&quot;reserved-for-future-use&quot;/&gt;&#13;&#10;"/>
</launchConfiguration>
/MSP430/MSP430_Audio_Cube/.project
0,0 → 1,27
<?xml version="1.0" encoding="UTF-8"?>
<projectDescription>
<name>MSP430_Audio_Project</name>
<comment></comment>
<projects>
</projects>
<buildSpec>
<buildCommand>
<name>org.eclipse.cdt.managedbuilder.core.genmakebuilder</name>
<arguments>
</arguments>
</buildCommand>
<buildCommand>
<name>org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder</name>
<triggers>full,incremental,</triggers>
<arguments>
</arguments>
</buildCommand>
</buildSpec>
<natures>
<nature>com.ti.ccstudio.core.ccsNature</nature>
<nature>org.eclipse.cdt.core.cnature</nature>
<nature>org.eclipse.cdt.managedbuilder.core.managedBuildNature</nature>
<nature>org.eclipse.cdt.core.ccnature</nature>
<nature>org.eclipse.cdt.managedbuilder.core.ScannerConfigNature</nature>
</natures>
</projectDescription>
/MSP430/MSP430_Audio_Cube/.settings/org.eclipse.cdt.codan.core.prefs
0,0 → 1,3
eclipse.preferences.version=1
inEditor=false
onBuild=false
/MSP430/MSP430_Audio_Cube/.settings/org.eclipse.cdt.core.prefs
0,0 → 1,5
eclipse.preferences.version=1
environment/project/com.ti.ccstudio.buildDefinitions.MSP430.Debug.1717714940/append=true
environment/project/com.ti.ccstudio.buildDefinitions.MSP430.Debug.1717714940/appendContributed=true
environment/project/com.ti.ccstudio.buildDefinitions.MSP430.Release.2034998959/append=true
environment/project/com.ti.ccstudio.buildDefinitions.MSP430.Release.2034998959/appendContributed=true
/MSP430/MSP430_Audio_Cube/.settings/org.eclipse.cdt.debug.core.prefs
0,0 → 1,2
eclipse.preferences.version=1
org.eclipse.cdt.debug.core.toggleBreakpointModel=com.ti.ccstudio.debug.CCSBreakpointMarker
/MSP430/MSP430_Audio_Cube/.settings/org.eclipse.core.resources.prefs
0,0 → 1,11
eclipse.preferences.version=1
encoding//Debug/makefile=UTF-8
encoding//Debug/objects.mk=UTF-8
encoding//Debug/sources.mk=UTF-8
encoding//Debug/subdir_rules.mk=UTF-8
encoding//Debug/subdir_vars.mk=UTF-8
encoding//Release/makefile=UTF-8
encoding//Release/objects.mk=UTF-8
encoding//Release/sources.mk=UTF-8
encoding//Release/subdir_rules.mk=UTF-8
encoding//Release/subdir_vars.mk=UTF-8
/MSP430/MSP430_Audio_Cube/Debug/MSP430_Audio_Project.map
0,0 → 1,782
******************************************************************************
MSP430 Linker PC v4.2.1
******************************************************************************
>> Linked Thu Jan 16 00:44:46 2014
 
OUTPUT FILE NAME: <MSP430_Audio_Project.out>
ENTRY POINT SYMBOL: "_c_int00" address: 0000e272
 
 
MEMORY CONFIGURATION
 
name origin length used unused attr fill
---------------------- -------- --------- -------- -------- ---- --------
SFR 00000000 00000010 00000000 00000010 RWIX
PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX
PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX
RAM 00000200 00000200 00000072 0000018e RWIX
INFOD 00001000 00000040 00000000 00000040 RWIX
INFOC 00001040 00000040 00000000 00000040 RWIX
INFOB 00001080 00000040 00000000 00000040 RWIX
INFOA 000010c0 00000040 00000000 00000040 RWIX
FLASH 0000c000 00003fe0 00002462 00001b7e RWIX
INT00 0000ffe0 00000002 00000000 00000002 RWIX
INT01 0000ffe2 00000002 00000000 00000002 RWIX
INT02 0000ffe4 00000002 00000002 00000000 RWIX
INT03 0000ffe6 00000002 00000002 00000000 RWIX
INT04 0000ffe8 00000002 00000000 00000002 RWIX
INT05 0000ffea 00000002 00000002 00000000 RWIX
INT06 0000ffec 00000002 00000002 00000000 RWIX
INT07 0000ffee 00000002 00000002 00000000 RWIX
INT08 0000fff0 00000002 00000002 00000000 RWIX
INT09 0000fff2 00000002 00000002 00000000 RWIX
INT10 0000fff4 00000002 00000002 00000000 RWIX
INT11 0000fff6 00000002 00000002 00000000 RWIX
INT12 0000fff8 00000002 00000002 00000000 RWIX
INT13 0000fffa 00000002 00000002 00000000 RWIX
INT14 0000fffc 00000002 00000002 00000000 RWIX
RESET 0000fffe 00000002 00000002 00000000 RWIX
 
 
SECTION ALLOCATION MAP
 
output attributes/
section page origin length input sections
-------- ---- ---------- ---------- ----------------
.init_array
* 0 0000c000 00000000 UNINITIALIZED
 
.bss 0 00000200 00000018 UNINITIALIZED
00000200 00000010 (.common:filename)
00000210 00000002 (.common:FatFs)
00000212 00000002 (.common:dir_count)
00000214 00000002 (.common:file_count)
00000216 00000002 (.common:playerState)
 
.TI.noinit
* 0 00000200 00000000 UNINITIALIZED
 
.data 0 00000218 0000000a UNINITIALIZED
00000218 00000004 rts430_eabi.lib : _lock.obj (.data)
0000021c 00000004 : rand.obj (.data)
00000220 00000002 vs1053.obj (.data)
 
.TI.persistent
* 0 00000218 00000000 UNINITIALIZED
 
.stack 0 000003b0 00000050 UNINITIALIZED
000003b0 00000002 rts430_eabi.lib : boot.obj (.stack)
000003b2 0000004e --HOLE--
 
.text 0 0000c000 000023a4
0000c000 000002ba pff.obj (.text:pf_mount)
0000c2ba 000001ca vs1053.obj (.text:VS1053PlayFile)
0000c484 0000019a pff.obj (.text:pf_read)
0000c61e 00000160 main.obj (.text:main)
0000c77e 0000014c pff.obj (.text:get_fat)
0000c8ca 00000146 pff.obj (.text:get_fileinfo)
0000ca10 00000116 rts430_eabi.lib : _printfi_min.obj (.text:_setfield)
0000cb26 000000f8 vs1053.obj (.text:VSInitSoftware)
0000cc1e 000000ea pff.obj (.text:create_name)
0000cd08 000000ca rts430_eabi.lib : _printfi_min.obj (.text:__TI_printfi_minimal)
0000cdd2 000000ca pff.obj (.text:follow_path)
0000ce9c 000000c4 pff.obj (.text:dir_read)
0000cf60 000000be pff.obj (.text:dir_next)
0000d01e 000000b6 vs1053.obj (.text:LoadPlugin)
0000d0d4 000000b4 pff.obj (.text:pf_open)
0000d188 000000a4 pff.obj (.text:dir_find)
0000d22c 000000a2 pff.obj (.text:pf_readdir)
0000d2ce 0000009a mmc.obj (.text:mmcReadBlock)
0000d368 00000090 main.obj (.text:Port1_Interrupt)
0000d3f8 00000090 pff.obj (.text:pf_opendir)
0000d488 00000082 pff.obj (.text:check_fs)
0000d50a 0000007e main.obj (.text:directory_info)
0000d588 0000007c mmc.obj (.text:mmcGoIdle)
0000d604 00000078 mmc.obj (.text:mmcSendCmd)
0000d67c 00000066 hal_SPI.obj (.text:spiSendFrame)
0000d6e2 00000064 pff.obj (.text:dir_rewind)
0000d746 00000060 hal_SPI.obj (.text:spiReadFrame)
0000d7a6 0000005e rts430_eabi.lib : copy_decompress_rle.obj (.text:__TI_decompress_rle_core)
0000d804 0000005e : autoinit.obj (.text:_auto_init)
0000d862 0000005e diskio.obj (.text:disk_readp)
0000d8c0 0000005c vs1053.obj (.text:ReadSci)
0000d91c 0000005c rts430_eabi.lib : lsr32.obj (.text:l_lsr_const)
0000d978 0000005c pff.obj (.text:mem_cmp)
0000d9d4 00000058 vs1053.obj (.text:WriteSci)
0000da2c 00000058 rts430_eabi.lib : div32u.obj (.text)
0000da84 00000056 : _printfi_min.obj (.text:_ltostr)
0000dada 00000056 : snprintf.obj (.text:snprintf)
0000db30 00000054 mmc.obj (.text:mmcSetBlockLength)
0000db84 00000052 adxl345.obj (.text:ADXLInitInterrupts)
0000dbd6 0000004e rts430_eabi.lib : _printfi_min.obj (.text:_pproc_diouxp)
0000dc24 0000004c adxl345.obj (.text:ADXLInit)
0000dc70 0000004c rts430_eabi.lib : lsr16.obj (.text)
0000dcbc 0000004a adxl345.obj (.text:Read16SPI)
0000dd06 00000046 rts430_eabi.lib : _printfi_min.obj (.text:_pproc_str)
0000dd4c 00000044 adxl345.obj (.text:ADXLRead)
0000dd90 00000044 vs1053.obj (.text:WriteSdi)
0000ddd4 00000044 pff.obj (.text:clust2sect)
0000de18 00000044 pff.obj (.text:mem_set)
0000de5c 00000042 mmc.obj (.text:mmcInit)
0000de9e 0000003e rts430_eabi.lib : lsl32.obj (.text:l_lsl_const)
0000dedc 0000003a vs1053.obj (.text:VS1053SoftwareReset)
0000df16 0000003a mmc.obj (.text:mmcGetXXResponse)
0000df50 00000038 mmc.obj (.text:mmcGetResponse)
0000df88 00000038 rts430_eabi.lib : rand.obj (.text:rand)
0000dfc0 00000036 : snprintf.obj (.text:_outs)
0000dff6 00000034 adxl345.obj (.text:ReadSPI)
0000e02a 00000034 hal_SPI.obj (.text:halSPISetup)
0000e05e 00000032 adxl345.obj (.text:WriteSPI)
0000e090 00000030 adxl345.obj (.text:ADXLSetRange)
0000e0c0 0000002e vs1053.obj (.text:VSInitHardware)
0000e0ee 0000002e rts430_eabi.lib : _printfi_min.obj (.text:_getarg_diouxp)
0000e11c 0000002c : div16s.obj (.text)
0000e148 0000002c : lsl16.obj (.text)
0000e174 00000028 diskio.obj (.text:disk_initialize)
0000e19c 00000026 rts430_eabi.lib : mult32.obj (.text)
0000e1c2 00000020 adxl345.obj (.text:ADXLSetDataRate)
0000e1e2 0000001e rts430_eabi.lib : snprintf.obj (.text:_outc)
0000e200 0000001e hal_SPI.obj (.text:spiSendByte)
0000e21e 0000001c vs1053.obj (.text:ReadVS10xxMem)
0000e23a 0000001c vs1053.obj (.text:VS1053Init)
0000e256 0000001c rts430_eabi.lib : memccpy.obj (.text:memccpy)
0000e272 0000001a : boot.obj (.text:_c_int00_noexit)
0000e28c 00000018 : _printfi_min.obj (.text:_div)
0000e2a4 00000018 : rand.obj (.text:srand)
0000e2bc 00000016 : div16u.obj (.text)
0000e2d2 00000014 : copy_zero_init.obj (.text:decompress:ZI:__TI_zero_init)
0000e2e6 00000014 : memset.obj (.text:memset)
0000e2fa 00000014 : mult16.obj (.text)
0000e30e 00000012 : copy_decompress_none.obj (.text:decompress:none:__TI_decompress_none)
0000e320 00000012 : lsr32.obj (.text:l_lsr)
0000e332 00000012 : memcpy.obj (.text:memcpy)
0000e344 00000010 : epilog.obj (.text)
0000e354 0000000e : strlen.obj (.text:strlen)
0000e362 0000000c adxl345.obj (.text:ADXLStandbyOff)
0000e36e 0000000c hal_SPI.obj (.text:halSPISetSpeedLow)
0000e37a 0000000a adxl345.obj (.text:ADXLClearInterrupts)
0000e384 0000000a hal_SPI.obj (.text:halSPISetSpeedHigh)
0000e38e 00000006 rts430_eabi.lib : isr_trap.obj (.text:__TI_ISR_TRAP)
0000e394 00000006 : copy_decompress_rle.obj (.text:decompress:rle24:__TI_decompress_rle24)
0000e39a 00000004 : pre_init.obj (.text:_system_pre_init)
0000e39e 00000004 : exit.obj (.text:abort)
0000e3a2 00000002 : _lock.obj (.text:_nop)
 
.const 0 0000e3a4 0000009e
0000e3a4 00000050 vs1053.obj (.const:plugin)
0000e3f4 00000020 vs1053.obj (.const:chipNumber)
0000e414 0000001a main.obj (.const:.string)
0000e42e 00000014 rts430_eabi.lib : _printfi_min.obj (.const:.string)
 
.cinit 0 0000e442 00000020
0000e442 0000000e (.cinit..data.load) [load image]
0000e450 00000006 (__TI_handler_table)
0000e456 00000004 (.cinit..bss.load) [load image, compression = zero_init]
0000e45a 00000008 (__TI_cinit_table)
 
PORT1 0 0000ffe4 00000002
0000ffe4 00000002 main.obj (.int02)
 
PORT2 0 0000ffe6 00000002
0000ffe6 00000002 rts430_eabi.lib : int03.obj (.int03)
 
ADC10 0 0000ffea 00000002
0000ffea 00000002 rts430_eabi.lib : int05.obj (.int05)
 
USCIAB0TX
* 0 0000ffec 00000002
0000ffec 00000002 rts430_eabi.lib : int06.obj (.int06)
 
USCIAB0RX
* 0 0000ffee 00000002
0000ffee 00000002 rts430_eabi.lib : int07.obj (.int07)
 
TIMER0_A1
* 0 0000fff0 00000002
0000fff0 00000002 rts430_eabi.lib : int08.obj (.int08)
 
TIMER0_A0
* 0 0000fff2 00000002
0000fff2 00000002 rts430_eabi.lib : int09.obj (.int09)
 
WDT 0 0000fff4 00000002
0000fff4 00000002 rts430_eabi.lib : int10.obj (.int10)
 
COMPARATORA
* 0 0000fff6 00000002
0000fff6 00000002 rts430_eabi.lib : int11.obj (.int11)
 
TIMER1_A1
* 0 0000fff8 00000002
0000fff8 00000002 rts430_eabi.lib : int12.obj (.int12)
 
TIMER1_A0
* 0 0000fffa 00000002
0000fffa 00000002 rts430_eabi.lib : int13.obj (.int13)
 
NMI 0 0000fffc 00000002
0000fffc 00000002 rts430_eabi.lib : int14.obj (.int14)
 
.reset 0 0000fffe 00000002
0000fffe 00000002 rts430_eabi.lib : boot.obj (.reset)
 
 
LINKER GENERATED COPY TABLES
 
__TI_cinit_table @ 0000e45a records: 2, size/record: 4, table size: 8
.data: load addr=0000e442, load size=0000000e bytes, run addr=00000218, run size=0000000a bytes, compression=copy
.bss: load addr=0000e456, load size=00000004 bytes, run addr=00000200, run size=00000018 bytes, compression=zero_init
 
 
LINKER GENERATED HANDLER TABLE
 
__TI_handler_table @ 0000e450 records: 3, size/record: 2, table size: 6
index: 0, handler: __TI_zero_init
index: 1, handler: __TI_decompress_rle24
index: 2, handler: __TI_decompress_none
 
 
GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name
 
address name
-------- ----
0000004a ADC10AE0
000001b0 ADC10CTL0
000001b2 ADC10CTL1
00000048 ADC10DTC0
00000049 ADC10DTC1
000001b4 ADC10MEM
000001bc ADC10SA
0000e37a ADXLClearInterrupts
0000dc24 ADXLInit
0000db84 ADXLInitInterrupts
0000dd4c ADXLRead
0000e1c2 ADXLSetDataRate
0000e090 ADXLSetRange
0000e362 ADXLStandbyOff
00000057 BCSCTL1
00000058 BCSCTL2
00000053 BCSCTL3
0000e39e C$$EXIT
00000059 CACTL1
0000005a CACTL2
000010fb CALBC1_12MHZ
000010f9 CALBC1_16MHZ
000010ff CALBC1_1MHZ
000010fd CALBC1_8MHZ
000010fa CALDCO_12MHZ
000010f8 CALDCO_16MHZ
000010fe CALDCO_1MHZ
000010fc CALDCO_8MHZ
0000005b CAPD
00000056 DCOCTL
00000128 FCTL1
0000012a FCTL2
0000012c FCTL3
00000000 IE1
00000001 IE2
00000002 IFG1
00000003 IFG2
0000d01e LoadPlugin
00000022 P1DIR
00000025 P1IE
00000024 P1IES
00000023 P1IFG
00000020 P1IN
00000021 P1OUT
00000027 P1REN
00000026 P1SEL
00000041 P1SEL2
0000002a P2DIR
0000002d P2IE
0000002c P2IES
0000002b P2IFG
00000028 P2IN
00000029 P2OUT
0000002f P2REN
0000002e P2SEL
00000042 P2SEL2
0000001a P3DIR
00000018 P3IN
00000019 P3OUT
00000010 P3REN
0000001b P3SEL
00000043 P3SEL2
0000d368 Port1_Interrupt
0000dcbc Read16SPI
0000dff6 ReadSPI
0000d8c0 ReadSci
0000e21e ReadVS10xxMem
00000172 TA0CCR0
00000174 TA0CCR1
00000176 TA0CCR2
00000162 TA0CCTL0
00000164 TA0CCTL1
00000166 TA0CCTL2
00000160 TA0CTL
0000012e TA0IV
00000170 TA0R
00000192 TA1CCR0
00000194 TA1CCR1
00000196 TA1CCR2
00000182 TA1CCTL0
00000184 TA1CCTL1
00000186 TA1CCTL2
00000180 TA1CTL
0000011e TA1IV
00000190 TA1R
0000005d UCA0ABCTL
00000062 UCA0BR0
00000063 UCA0BR1
00000060 UCA0CTL0
00000061 UCA0CTL1
0000005f UCA0IRRCTL
0000005e UCA0IRTCTL
00000064 UCA0MCTL
00000066 UCA0RXBUF
00000065 UCA0STAT
00000067 UCA0TXBUF
0000006a UCB0BR0
0000006b UCB0BR1
00000068 UCB0CTL0
00000069 UCB0CTL1
0000006c UCB0I2CIE
00000118 UCB0I2COA
0000011a UCB0I2CSA
0000006e UCB0RXBUF
0000006d UCB0STAT
0000006f UCB0TXBUF
0000e23a VS1053Init
0000c2ba VS1053PlayFile
0000dedc VS1053SoftwareReset
0000e0c0 VSInitHardware
0000cb26 VSInitSoftware
00000120 WDTCTL
0000e05e WriteSPI
0000d9d4 WriteSci
0000dd90 WriteSdi
00000400 __STACK_END
00000050 __STACK_SIZE
0000e45a __TI_CINIT_Base
0000e462 __TI_CINIT_Limit
0000e450 __TI_Handler_Table_Base
0000e456 __TI_Handler_Table_Limit
UNDEFED __TI_INITARRAY_Base
UNDEFED __TI_INITARRAY_Limit
0000e38e __TI_ISR_TRAP
00000001 __TI_args_main
0000e30e __TI_decompress_none
0000e394 __TI_decompress_rle24
00000001 __TI_exit
0000ffe4 __TI_int02
0000ffe6 __TI_int03
0000ffea __TI_int05
0000ffec __TI_int06
0000ffee __TI_int07
0000fff0 __TI_int08
0000fff2 __TI_int09
0000fff4 __TI_int10
0000fff6 __TI_int11
0000fff8 __TI_int12
0000fffa __TI_int13
0000fffc __TI_int14
0000cd08 __TI_printfi_minimal
0000e2d2 __TI_zero_init
ffffffff __binit__
ffffffff __c_args__
0000e11c __mspabi_divi
0000e2bc __mspabi_divu
0000da2c __mspabi_divul
0000e350 __mspabi_func_epilog_1
0000e34e __mspabi_func_epilog_2
0000e34c __mspabi_func_epilog_3
0000e34a __mspabi_func_epilog_4
0000e348 __mspabi_func_epilog_5
0000e346 __mspabi_func_epilog_6
0000e344 __mspabi_func_epilog_7
0000e2fa __mspabi_mpyi
0000e19c __mspabi_mpyl
0000e11c __mspabi_remi
0000e2bc __mspabi_remu
0000da2c __mspabi_remul
0000e148 __mspabi_slli
0000e170 __mspabi_slli_1
0000e15e __mspabi_slli_10
0000e15c __mspabi_slli_11
0000e15a __mspabi_slli_12
0000e158 __mspabi_slli_13
0000e156 __mspabi_slli_14
0000e154 __mspabi_slli_15
0000e16e __mspabi_slli_2
0000e16c __mspabi_slli_3
0000e16a __mspabi_slli_4
0000e168 __mspabi_slli_5
0000e166 __mspabi_slli_6
0000e164 __mspabi_slli_7
0000e162 __mspabi_slli_8
0000e160 __mspabi_slli_9
0000ded6 __mspabi_slll_1
0000deb2 __mspabi_slll_10
0000deae __mspabi_slll_11
0000deaa __mspabi_slll_12
0000dea6 __mspabi_slll_13
0000dea2 __mspabi_slll_14
0000de9e __mspabi_slll_15
0000ded2 __mspabi_slll_2
0000dece __mspabi_slll_3
0000deca __mspabi_slll_4
0000dec6 __mspabi_slll_5
0000dec2 __mspabi_slll_6
0000debe __mspabi_slll_7
0000deba __mspabi_slll_8
0000deb6 __mspabi_slll_9
0000dc70 __mspabi_srli
0000dcb6 __mspabi_srli_1
0000dc92 __mspabi_srli_10
0000dc8e __mspabi_srli_11
0000dc8a __mspabi_srli_12
0000dc86 __mspabi_srli_13
0000dc82 __mspabi_srli_14
0000dc7e __mspabi_srli_15
0000dcb2 __mspabi_srli_2
0000dcae __mspabi_srli_3
0000dcaa __mspabi_srli_4
0000dca6 __mspabi_srli_5
0000dca2 __mspabi_srli_6
0000dc9e __mspabi_srli_7
0000dc9a __mspabi_srli_8
0000dc96 __mspabi_srli_9
0000e320 __mspabi_srll
0000d970 __mspabi_srll_1
0000d93a __mspabi_srll_10
0000d934 __mspabi_srll_11
0000d92e __mspabi_srll_12
0000d928 __mspabi_srll_13
0000d922 __mspabi_srll_14
0000d91c __mspabi_srll_15
0000d96a __mspabi_srll_2
0000d964 __mspabi_srll_3
0000d95e __mspabi_srll_4
0000d958 __mspabi_srll_5
0000d952 __mspabi_srll_6
0000d94c __mspabi_srll_7
0000d946 __mspabi_srll_8
0000d940 __mspabi_srll_9
0000d804 _auto_init
0000e272 _c_int00
0000e272 _c_int00_noexit
00000218 _lock
0000e3a2 _nop
0000fffe _reset_vector
000003b0 _stack
0000e39a _system_pre_init
0000021a _unlock
0000e39e abort
00000220 audioFormat
ffffffff binit
0000e3f4 chipNumber
0000d50a directory_info
0000e174 disk_initialize
0000d862 disk_readp
0000e384 halSPISetSpeedHigh
0000e36e halSPISetSpeedLow
0000e02a halSPISetup
0000c61e main
0000e256 memccpy
0000e332 memcpy
0000e2e6 memset
0000df50 mmcGetResponse
0000df16 mmcGetXXResponse
0000d588 mmcGoIdle
0000de5c mmcInit
0000d2ce mmcReadBlock
0000d604 mmcSendCmd
0000db30 mmcSetBlockLength
0000c000 pf_mount
0000d0d4 pf_open
0000d3f8 pf_opendir
0000c484 pf_read
0000d22c pf_readdir
00000216 playerState
0000e3a4 plugin
0000df88 rand
0000dada snprintf
0000d746 spiReadFrame
0000e200 spiSendByte
0000d67c spiSendFrame
0000e2a4 srand
0000e354 strlen
 
 
GLOBAL SYMBOLS: SORTED BY Symbol Address
 
address name
-------- ----
00000000 IE1
00000001 IE2
00000001 __TI_args_main
00000001 __TI_exit
00000002 IFG1
00000003 IFG2
00000010 P3REN
00000018 P3IN
00000019 P3OUT
0000001a P3DIR
0000001b P3SEL
00000020 P1IN
00000021 P1OUT
00000022 P1DIR
00000023 P1IFG
00000024 P1IES
00000025 P1IE
00000026 P1SEL
00000027 P1REN
00000028 P2IN
00000029 P2OUT
0000002a P2DIR
0000002b P2IFG
0000002c P2IES
0000002d P2IE
0000002e P2SEL
0000002f P2REN
00000041 P1SEL2
00000042 P2SEL2
00000043 P3SEL2
00000048 ADC10DTC0
00000049 ADC10DTC1
0000004a ADC10AE0
00000050 __STACK_SIZE
00000053 BCSCTL3
00000056 DCOCTL
00000057 BCSCTL1
00000058 BCSCTL2
00000059 CACTL1
0000005a CACTL2
0000005b CAPD
0000005d UCA0ABCTL
0000005e UCA0IRTCTL
0000005f UCA0IRRCTL
00000060 UCA0CTL0
00000061 UCA0CTL1
00000062 UCA0BR0
00000063 UCA0BR1
00000064 UCA0MCTL
00000065 UCA0STAT
00000066 UCA0RXBUF
00000067 UCA0TXBUF
00000068 UCB0CTL0
00000069 UCB0CTL1
0000006a UCB0BR0
0000006b UCB0BR1
0000006c UCB0I2CIE
0000006d UCB0STAT
0000006e UCB0RXBUF
0000006f UCB0TXBUF
00000118 UCB0I2COA
0000011a UCB0I2CSA
0000011e TA1IV
00000120 WDTCTL
00000128 FCTL1
0000012a FCTL2
0000012c FCTL3
0000012e TA0IV
00000160 TA0CTL
00000162 TA0CCTL0
00000164 TA0CCTL1
00000166 TA0CCTL2
00000170 TA0R
00000172 TA0CCR0
00000174 TA0CCR1
00000176 TA0CCR2
00000180 TA1CTL
00000182 TA1CCTL0
00000184 TA1CCTL1
00000186 TA1CCTL2
00000190 TA1R
00000192 TA1CCR0
00000194 TA1CCR1
00000196 TA1CCR2
000001b0 ADC10CTL0
000001b2 ADC10CTL1
000001b4 ADC10MEM
000001bc ADC10SA
00000216 playerState
00000218 _lock
0000021a _unlock
00000220 audioFormat
000003b0 _stack
00000400 __STACK_END
000010f8 CALDCO_16MHZ
000010f9 CALBC1_16MHZ
000010fa CALDCO_12MHZ
000010fb CALBC1_12MHZ
000010fc CALDCO_8MHZ
000010fd CALBC1_8MHZ
000010fe CALDCO_1MHZ
000010ff CALBC1_1MHZ
0000c000 pf_mount
0000c2ba VS1053PlayFile
0000c484 pf_read
0000c61e main
0000cb26 VSInitSoftware
0000cd08 __TI_printfi_minimal
0000d01e LoadPlugin
0000d0d4 pf_open
0000d22c pf_readdir
0000d2ce mmcReadBlock
0000d368 Port1_Interrupt
0000d3f8 pf_opendir
0000d50a directory_info
0000d588 mmcGoIdle
0000d604 mmcSendCmd
0000d67c spiSendFrame
0000d746 spiReadFrame
0000d804 _auto_init
0000d862 disk_readp
0000d8c0 ReadSci
0000d91c __mspabi_srll_15
0000d922 __mspabi_srll_14
0000d928 __mspabi_srll_13
0000d92e __mspabi_srll_12
0000d934 __mspabi_srll_11
0000d93a __mspabi_srll_10
0000d940 __mspabi_srll_9
0000d946 __mspabi_srll_8
0000d94c __mspabi_srll_7
0000d952 __mspabi_srll_6
0000d958 __mspabi_srll_5
0000d95e __mspabi_srll_4
0000d964 __mspabi_srll_3
0000d96a __mspabi_srll_2
0000d970 __mspabi_srll_1
0000d9d4 WriteSci
0000da2c __mspabi_divul
0000da2c __mspabi_remul
0000dada snprintf
0000db30 mmcSetBlockLength
0000db84 ADXLInitInterrupts
0000dc24 ADXLInit
0000dc70 __mspabi_srli
0000dc7e __mspabi_srli_15
0000dc82 __mspabi_srli_14
0000dc86 __mspabi_srli_13
0000dc8a __mspabi_srli_12
0000dc8e __mspabi_srli_11
0000dc92 __mspabi_srli_10
0000dc96 __mspabi_srli_9
0000dc9a __mspabi_srli_8
0000dc9e __mspabi_srli_7
0000dca2 __mspabi_srli_6
0000dca6 __mspabi_srli_5
0000dcaa __mspabi_srli_4
0000dcae __mspabi_srli_3
0000dcb2 __mspabi_srli_2
0000dcb6 __mspabi_srli_1
0000dcbc Read16SPI
0000dd4c ADXLRead
0000dd90 WriteSdi
0000de5c mmcInit
0000de9e __mspabi_slll_15
0000dea2 __mspabi_slll_14
0000dea6 __mspabi_slll_13
0000deaa __mspabi_slll_12
0000deae __mspabi_slll_11
0000deb2 __mspabi_slll_10
0000deb6 __mspabi_slll_9
0000deba __mspabi_slll_8
0000debe __mspabi_slll_7
0000dec2 __mspabi_slll_6
0000dec6 __mspabi_slll_5
0000deca __mspabi_slll_4
0000dece __mspabi_slll_3
0000ded2 __mspabi_slll_2
0000ded6 __mspabi_slll_1
0000dedc VS1053SoftwareReset
0000df16 mmcGetXXResponse
0000df50 mmcGetResponse
0000df88 rand
0000dff6 ReadSPI
0000e02a halSPISetup
0000e05e WriteSPI
0000e090 ADXLSetRange
0000e0c0 VSInitHardware
0000e11c __mspabi_divi
0000e11c __mspabi_remi
0000e148 __mspabi_slli
0000e154 __mspabi_slli_15
0000e156 __mspabi_slli_14
0000e158 __mspabi_slli_13
0000e15a __mspabi_slli_12
0000e15c __mspabi_slli_11
0000e15e __mspabi_slli_10
0000e160 __mspabi_slli_9
0000e162 __mspabi_slli_8
0000e164 __mspabi_slli_7
0000e166 __mspabi_slli_6
0000e168 __mspabi_slli_5
0000e16a __mspabi_slli_4
0000e16c __mspabi_slli_3
0000e16e __mspabi_slli_2
0000e170 __mspabi_slli_1
0000e174 disk_initialize
0000e19c __mspabi_mpyl
0000e1c2 ADXLSetDataRate
0000e200 spiSendByte
0000e21e ReadVS10xxMem
0000e23a VS1053Init
0000e256 memccpy
0000e272 _c_int00
0000e272 _c_int00_noexit
0000e2a4 srand
0000e2bc __mspabi_divu
0000e2bc __mspabi_remu
0000e2d2 __TI_zero_init
0000e2e6 memset
0000e2fa __mspabi_mpyi
0000e30e __TI_decompress_none
0000e320 __mspabi_srll
0000e332 memcpy
0000e344 __mspabi_func_epilog_7
0000e346 __mspabi_func_epilog_6
0000e348 __mspabi_func_epilog_5
0000e34a __mspabi_func_epilog_4
0000e34c __mspabi_func_epilog_3
0000e34e __mspabi_func_epilog_2
0000e350 __mspabi_func_epilog_1
0000e354 strlen
0000e362 ADXLStandbyOff
0000e36e halSPISetSpeedLow
0000e37a ADXLClearInterrupts
0000e384 halSPISetSpeedHigh
0000e38e __TI_ISR_TRAP
0000e394 __TI_decompress_rle24
0000e39a _system_pre_init
0000e39e C$$EXIT
0000e39e abort
0000e3a2 _nop
0000e3a4 plugin
0000e3f4 chipNumber
0000e450 __TI_Handler_Table_Base
0000e456 __TI_Handler_Table_Limit
0000e45a __TI_CINIT_Base
0000e462 __TI_CINIT_Limit
0000ffe4 __TI_int02
0000ffe6 __TI_int03
0000ffea __TI_int05
0000ffec __TI_int06
0000ffee __TI_int07
0000fff0 __TI_int08
0000fff2 __TI_int09
0000fff4 __TI_int10
0000fff6 __TI_int11
0000fff8 __TI_int12
0000fffa __TI_int13
0000fffc __TI_int14
0000fffe _reset_vector
ffffffff __binit__
ffffffff __c_args__
ffffffff binit
UNDEFED __TI_INITARRAY_Base
UNDEFED __TI_INITARRAY_Limit
 
[266 symbols]
/MSP430/MSP430_Audio_Cube/Debug/MSP430_Audio_Project.out
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Debug/MSP430_Audio_Project.out
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Debug/MSP430_Audio_Project_linkInfo.xml
0,0 → 1,8213
<?xml version="1.0"?>
<link_info>
<banner>MSP430 Linker PC v4.2.1</banner>
<copyright>Copyright (c) 2003-2013 Texas Instruments Incorporated</copyright>
<link_time>0x52d771ce</link_time>
<link_errors>0x0</link_errors>
<output_file>MSP430_Audio_Project.out</output_file>
<entry_point>
<name>_c_int00</name>
<address>0xe272</address>
</entry_point>
<input_file_list>
<input_file id="fl-2">
<path>.\</path>
<kind>object</kind>
<file>vs1053.obj</file>
<name>vs1053.obj</name>
</input_file>
<input_file id="fl-3">
<path>.\</path>
<kind>object</kind>
<file>pff.obj</file>
<name>pff.obj</name>
</input_file>
<input_file id="fl-4">
<path>.\</path>
<kind>object</kind>
<file>mmc.obj</file>
<name>mmc.obj</name>
</input_file>
<input_file id="fl-5">
<path>.\</path>
<kind>object</kind>
<file>main.obj</file>
<name>main.obj</name>
</input_file>
<input_file id="fl-6">
<path>.\</path>
<kind>object</kind>
<file>hal_SPI.obj</file>
<name>hal_SPI.obj</name>
</input_file>
<input_file id="fl-7">
<path>.\</path>
<kind>object</kind>
<file>diskio.obj</file>
<name>diskio.obj</name>
</input_file>
<input_file id="fl-8">
<path>.\</path>
<kind>object</kind>
<file>adxl345.obj</file>
<name>adxl345.obj</name>
</input_file>
<input_file id="fl-22">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>boot.obj</name>
</input_file>
<input_file id="fl-23">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>copy_decompress_none.obj</name>
</input_file>
<input_file id="fl-24">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>copy_decompress_rle.obj</name>
</input_file>
<input_file id="fl-25">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>copy_zero_init.obj</name>
</input_file>
<input_file id="fl-26">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>div16s.obj</name>
</input_file>
<input_file id="fl-27">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>div16u.obj</name>
</input_file>
<input_file id="fl-28">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>div32u.obj</name>
</input_file>
<input_file id="fl-29">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>epilog.obj</name>
</input_file>
<input_file id="fl-2a">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>exit.obj</name>
</input_file>
<input_file id="fl-2b">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int03.obj</name>
</input_file>
<input_file id="fl-2c">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int05.obj</name>
</input_file>
<input_file id="fl-2d">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int06.obj</name>
</input_file>
<input_file id="fl-2e">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int07.obj</name>
</input_file>
<input_file id="fl-2f">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int08.obj</name>
</input_file>
<input_file id="fl-30">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int09.obj</name>
</input_file>
<input_file id="fl-31">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int10.obj</name>
</input_file>
<input_file id="fl-32">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int11.obj</name>
</input_file>
<input_file id="fl-33">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int12.obj</name>
</input_file>
<input_file id="fl-34">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int13.obj</name>
</input_file>
<input_file id="fl-35">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int14.obj</name>
</input_file>
<input_file id="fl-36">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>isr_trap.obj</name>
</input_file>
<input_file id="fl-37">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>lsl16.obj</name>
</input_file>
<input_file id="fl-38">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>lsl32.obj</name>
</input_file>
<input_file id="fl-39">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>lsr16.obj</name>
</input_file>
<input_file id="fl-3a">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>lsr32.obj</name>
</input_file>
<input_file id="fl-3b">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>memcpy.obj</name>
</input_file>
<input_file id="fl-3c">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>mult32.obj</name>
</input_file>
<input_file id="fl-3d">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>pre_init.obj</name>
</input_file>
<input_file id="fl-3e">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>rand.obj</name>
</input_file>
<input_file id="fl-3f">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>snprintf.obj</name>
</input_file>
<input_file id="fl-40">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>_lock.obj</name>
</input_file>
<input_file id="fl-41">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>_printfi_min.obj</name>
</input_file>
<input_file id="fl-42">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>args_main.obj</name>
</input_file>
<input_file id="fl-43">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>autoinit.obj</name>
</input_file>
<input_file id="fl-44">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>memccpy.obj</name>
</input_file>
<input_file id="fl-45">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>memset.obj</name>
</input_file>
<input_file id="fl-46">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>mult16.obj</name>
</input_file>
<input_file id="fl-47">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>strlen.obj</name>
</input_file>
</input_file_list>
<object_component_list>
<object_component id="oc-4e">
<name>.stack</name>
<uninitialized>true</uninitialized>
<run_address>0x3b0</run_address>
<size>0x2</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-352">
<name>.stack</name>
<uninitialized>true</uninitialized>
<run_address>0x3b0</run_address>
<size>0x0</size>
</object_component>
<object_component id="oc-d4">
<name>.text:pf_mount</name>
<load_address>0xc000</load_address>
<run_address>0xc000</run_address>
<size>0x2ba</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-ad">
<name>.text:VS1053PlayFile</name>
<load_address>0xc2ba</load_address>
<run_address>0xc2ba</run_address>
<size>0x1ca</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-168">
<name>.text:pf_read</name>
<load_address>0xc484</load_address>
<run_address>0xc484</run_address>
<size>0x19a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-64">
<name>.text:main</name>
<load_address>0xc61e</load_address>
<run_address>0xc61e</run_address>
<size>0x160</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-229">
<name>.text:get_fat</name>
<load_address>0xc77e</load_address>
<run_address>0xc77e</run_address>
<size>0x14c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-273">
<name>.text:get_fileinfo</name>
<load_address>0xc8ca</load_address>
<run_address>0xc8ca</run_address>
<size>0x146</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1fd">
<name>.text:_setfield</name>
<load_address>0xca10</load_address>
<run_address>0xca10</run_address>
<size>0x116</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-95">
<name>.text:VSInitSoftware</name>
<load_address>0xcb26</load_address>
<run_address>0xcb26</run_address>
<size>0xf8</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-2a1">
<name>.text:create_name</name>
<load_address>0xcc1e</load_address>
<run_address>0xcc1e</run_address>
<size>0xea</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-14b">
<name>.text:__TI_printfi_minimal</name>
<load_address>0xcd08</load_address>
<run_address>0xcd08</run_address>
<size>0xca</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-21e">
<name>.text:follow_path</name>
<load_address>0xcdd2</load_address>
<run_address>0xcdd2</run_address>
<size>0xca</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-26e">
<name>.text:dir_read</name>
<load_address>0xce9c</load_address>
<run_address>0xce9c</run_address>
<size>0xc4</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-278">
<name>.text:dir_next</name>
<load_address>0xcf60</load_address>
<run_address>0xcf60</run_address>
<size>0xbe</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-121">
<name>.text:LoadPlugin</name>
<load_address>0xd01e</load_address>
<run_address>0xd01e</run_address>
<size>0xb6</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-163">
<name>.text:pf_open</name>
<load_address>0xd0d4</load_address>
<run_address>0xd0d4</run_address>
<size>0xb4</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2a6">
<name>.text:dir_find</name>
<load_address>0xd188</load_address>
<run_address>0xd188</run_address>
<size>0xa4</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1c7">
<name>.text:pf_readdir</name>
<load_address>0xd22c</load_address>
<run_address>0xd22c</run_address>
<size>0xa2</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-25e">
<name>.text:mmcReadBlock</name>
<load_address>0xd2ce</load_address>
<run_address>0xd2ce</run_address>
<size>0x9a</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-51">
<name>.text:Port1_Interrupt</name>
<load_address>0xd368</load_address>
<run_address>0xd368</run_address>
<size>0x90</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-1c2">
<name>.text:pf_opendir</name>
<load_address>0xd3f8</load_address>
<run_address>0xd3f8</run_address>
<size>0x90</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1b2">
<name>.text:check_fs</name>
<load_address>0xd488</load_address>
<run_address>0xd488</run_address>
<size>0x82</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-db">
<name>.text:directory_info</name>
<load_address>0xd50a</load_address>
<run_address>0xd50a</run_address>
<size>0x7e</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-2b2">
<name>.text:mmcGoIdle</name>
<load_address>0xd588</load_address>
<run_address>0xd588</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2be">
<name>.text:mmcSendCmd</name>
<load_address>0xd604</load_address>
<run_address>0xd604</run_address>
<size>0x78</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-239">
<name>.text:spiSendFrame</name>
<load_address>0xd67c</load_address>
<run_address>0xd67c</run_address>
<size>0x66</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-267">
<name>.text:dir_rewind</name>
<load_address>0xd6e2</load_address>
<run_address>0xd6e2</run_address>
<size>0x64</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1dc">
<name>.text:spiReadFrame</name>
<load_address>0xd746</load_address>
<run_address>0xd746</run_address>
<size>0x60</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-82">
<name>.text:__TI_decompress_rle_core</name>
<load_address>0xd7a6</load_address>
<run_address>0xd7a6</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-5f">
<name>.text:_auto_init</name>
<load_address>0xd804</load_address>
<run_address>0xd804</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-1b7">
<name>.text:disk_readp</name>
<load_address>0xd862</load_address>
<run_address>0xd862</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-10a">
<name>.text:ReadSci</name>
<load_address>0xd8c0</load_address>
<run_address>0xd8c0</run_address>
<size>0x5c</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-225">
<name>.text:l_lsr_const</name>
<load_address>0xd91c</load_address>
<run_address>0xd91c</run_address>
<size>0x5c</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-2f5">
<name>.text:mem_cmp</name>
<load_address>0xd978</load_address>
<run_address>0xd978</run_address>
<size>0x5c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-10f">
<name>.text:WriteSci</name>
<load_address>0xd9d4</load_address>
<run_address>0xd9d4</run_address>
<size>0x58</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1bc">
<name>.text</name>
<load_address>0xda2c</load_address>
<run_address>0xda2c</run_address>
<size>0x58</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-2e5">
<name>.text:_ltostr</name>
<load_address>0xda84</load_address>
<run_address>0xda84</run_address>
<size>0x56</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-a7">
<name>.text:snprintf</name>
<load_address>0xdada</load_address>
<run_address>0xdada</run_address>
<size>0x56</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-2b9">
<name>.text:mmcSetBlockLength</name>
<load_address>0xdb30</load_address>
<run_address>0xdb30</run_address>
<size>0x54</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-e6">
<name>.text:ADXLInitInterrupts</name>
<load_address>0xdb84</load_address>
<run_address>0xdb84</run_address>
<size>0x52</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-28d">
<name>.text:_pproc_diouxp</name>
<load_address>0xdbd6</load_address>
<run_address>0xdbd6</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-c5">
<name>.text:ADXLInit</name>
<load_address>0xdc24</load_address>
<run_address>0xdc24</run_address>
<size>0x4c</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-119">
<name>.text</name>
<load_address>0xdc70</load_address>
<run_address>0xdc70</run_address>
<size>0x4c</size>
<input_file_ref idref="fl-39"/>
</object_component>
<object_component id="oc-1a2">
<name>.text:Read16SPI</name>
<load_address>0xdcbc</load_address>
<run_address>0xdcbc</run_address>
<size>0x4a</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-202">
<name>.text:_pproc_str</name>
<load_address>0xdd06</load_address>
<run_address>0xdd06</run_address>
<size>0x46</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-ca">
<name>.text:ADXLRead</name>
<load_address>0xdd4c</load_address>
<run_address>0xdd4c</run_address>
<size>0x44</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-16d">
<name>.text:WriteSdi</name>
<load_address>0xdd90</load_address>
<run_address>0xdd90</run_address>
<size>0x44</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-22e">
<name>.text:clust2sect</name>
<load_address>0xddd4</load_address>
<run_address>0xddd4</run_address>
<size>0x44</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2ef">
<name>.text:mem_set</name>
<load_address>0xde18</load_address>
<run_address>0xde18</run_address>
<size>0x44</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-253">
<name>.text:mmcInit</name>
<load_address>0xde5c</load_address>
<run_address>0xde5c</run_address>
<size>0x42</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-25a">
<name>.text:l_lsl_const</name>
<load_address>0xde9e</load_address>
<run_address>0xde9e</run_address>
<size>0x3e</size>
<input_file_ref idref="fl-38"/>
</object_component>
<object_component id="oc-178">
<name>.text:VS1053SoftwareReset</name>
<load_address>0xdedc</load_address>
<run_address>0xdedc</run_address>
<size>0x3a</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-2c8">
<name>.text:mmcGetXXResponse</name>
<load_address>0xdf16</load_address>
<run_address>0xdf16</run_address>
<size>0x3a</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2c3">
<name>.text:mmcGetResponse</name>
<load_address>0xdf50</load_address>
<run_address>0xdf50</run_address>
<size>0x38</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-9a">
<name>.text:rand</name>
<load_address>0xdf88</load_address>
<run_address>0xdf88</run_address>
<size>0x38</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-141">
<name>.text:_outs</name>
<load_address>0xdfc0</load_address>
<run_address>0xdfc0</run_address>
<size>0x36</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-17e">
<name>.text:ReadSPI</name>
<load_address>0xdff6</load_address>
<run_address>0xdff6</run_address>
<size>0x34</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-c0">
<name>.text:halSPISetup</name>
<load_address>0xe02a</load_address>
<run_address>0xe02a</run_address>
<size>0x34</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-18d">
<name>.text:WriteSPI</name>
<load_address>0xe05e</load_address>
<run_address>0xe05e</run_address>
<size>0x32</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-192">
<name>.text:ADXLSetRange</name>
<load_address>0xe090</load_address>
<run_address>0xe090</run_address>
<size>0x30</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1cd">
<name>.text:VSInitHardware</name>
<load_address>0xe0c0</load_address>
<run_address>0xe0c0</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-2e0">
<name>.text:_getarg_diouxp</name>
<load_address>0xe0ee</load_address>
<run_address>0xe0ee</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-a1">
<name>.text</name>
<load_address>0xe11c</load_address>
<run_address>0xe11c</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-2d2">
<name>.text</name>
<load_address>0xe148</load_address>
<run_address>0xe148</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-37"/>
</object_component>
<object_component id="oc-1ad">
<name>.text:disk_initialize</name>
<load_address>0xe174</load_address>
<run_address>0xe174</run_address>
<size>0x28</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-12b">
<name>.text</name>
<load_address>0xe19c</load_address>
<run_address>0xe19c</run_address>
<size>0x26</size>
<input_file_ref idref="fl-3c"/>
</object_component>
<object_component id="oc-197">
<name>.text:ADXLSetDataRate</name>
<load_address>0xe1c2</load_address>
<run_address>0xe1c2</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-146">
<name>.text:_outc</name>
<load_address>0xe1e2</load_address>
<run_address>0xe1e2</run_address>
<size>0x1e</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-1d7">
<name>.text:spiSendByte</name>
<load_address>0xe200</load_address>
<run_address>0xe200</run_address>
<size>0x1e</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-15c">
<name>.text:ReadVS10xxMem</name>
<load_address>0xe21e</load_address>
<run_address>0xe21e</run_address>
<size>0x1c</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-e1">
<name>.text:VS1053Init</name>
<load_address>0xe23a</load_address>
<run_address>0xe23a</run_address>
<size>0x1c</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-292">
<name>.text:memccpy</name>
<load_address>0xe256</load_address>
<run_address>0xe256</run_address>
<size>0x1c</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-3a">
<name>.text:_c_int00_noexit</name>
<load_address>0xe272</load_address>
<run_address>0xe272</run_address>
<size>0x1a</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-308">
<name>.text:_div</name>
<load_address>0xe28c</load_address>
<run_address>0xe28c</run_address>
<size>0x18</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-cf">
<name>.text:srand</name>
<load_address>0xe2a4</load_address>
<run_address>0xe2a4</run_address>
<size>0x18</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-13c">
<name>.text</name>
<load_address>0xe2bc</load_address>
<run_address>0xe2bc</run_address>
<size>0x16</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-44">
<name>.text:decompress:ZI:__TI_zero_init</name>
<load_address>0xe2d2</load_address>
<run_address>0xe2d2</run_address>
<size>0x14</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-287">
<name>.text:memset</name>
<load_address>0xe2e6</load_address>
<run_address>0xe2e6</run_address>
<size>0x14</size>
<input_file_ref idref="fl-45"/>
</object_component>
<object_component id="oc-30d">
<name>.text</name>
<load_address>0xe2fa</load_address>
<run_address>0xe2fa</run_address>
<size>0x14</size>
<input_file_ref idref="fl-46"/>
</object_component>
<object_component id="oc-3f">
<name>.text:decompress:none:__TI_decompress_none</name>
<load_address>0xe30e</load_address>
<run_address>0xe30e</run_address>
<size>0x12</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-2fd">
<name>.text:l_lsr</name>
<load_address>0xe320</load_address>
<run_address>0xe320</run_address>
<size>0x12</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-6f">
<name>.text:memcpy</name>
<load_address>0xe332</load_address>
<run_address>0xe332</run_address>
<size>0x12</size>
<input_file_ref idref="fl-3b"/>
</object_component>
<object_component id="oc-ba">
<name>.text</name>
<load_address>0xe344</load_address>
<run_address>0xe344</run_address>
<size>0x10</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-1f8">
<name>.text:strlen</name>
<load_address>0xe354</load_address>
<run_address>0xe354</run_address>
<size>0xe</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-19c">
<name>.text:ADXLStandbyOff</name>
<load_address>0xe362</load_address>
<run_address>0xe362</run_address>
<size>0xc</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-105">
<name>.text:halSPISetSpeedLow</name>
<load_address>0xe36e</load_address>
<run_address>0xe36e</run_address>
<size>0xc</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-b2">
<name>.text:ADXLClearInterrupts</name>
<load_address>0xe37a</load_address>
<run_address>0xe37a</run_address>
<size>0xa</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-114">
<name>.text:halSPISetSpeedHigh</name>
<load_address>0xe384</load_address>
<run_address>0xe384</run_address>
<size>0xa</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-56">
<name>.text:__TI_ISR_TRAP</name>
<load_address>0xe38e</load_address>
<run_address>0xe38e</run_address>
<size>0x6</size>
<input_file_ref idref="fl-36"/>
</object_component>
<object_component id="oc-49">
<name>.text:decompress:rle24:__TI_decompress_rle24</name>
<load_address>0xe394</load_address>
<run_address>0xe394</run_address>
<size>0x6</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-5a">
<name>.text:_system_pre_init</name>
<load_address>0xe39a</load_address>
<run_address>0xe39a</run_address>
<size>0x4</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-69">
<name>.text:abort</name>
<load_address>0xe39e</load_address>
<run_address>0xe39e</run_address>
<size>0x4</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-1ea">
<name>.text:_nop</name>
<load_address>0xe3a2</load_address>
<run_address>0xe3a2</run_address>
<size>0x2</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-351">
<name>.cinit..data.load</name>
<load_address>0xe442</load_address>
<run_address>0xe442</run_address>
<size>0xe</size>
</object_component>
<object_component id="oc-34e">
<name>__TI_handler_table</name>
<load_address>0xe450</load_address>
<run_address>0xe450</run_address>
<size>0x6</size>
</object_component>
<object_component id="oc-350">
<name>.cinit..bss.load</name>
<load_address>0xe456</load_address>
<run_address>0xe456</run_address>
<size>0x4</size>
</object_component>
<object_component id="oc-34f">
<name>__TI_cinit_table</name>
<load_address>0xe45a</load_address>
<run_address>0xe45a</run_address>
<size>0x8</size>
</object_component>
<object_component id="oc-11f">
<name>.const:plugin</name>
<load_address>0xe3a4</load_address>
<run_address>0xe3a4</run_address>
<size>0x50</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-11d">
<name>.const:chipNumber</name>
<load_address>0xe3f4</load_address>
<run_address>0xe3f4</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-a5">
<name>.const:.string</name>
<load_address>0xe414</load_address>
<run_address>0xe414</run_address>
<size>0x1a</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-28c">
<name>.const:.string</name>
<load_address>0xe42e</load_address>
<run_address>0xe42e</run_address>
<size>0x14</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2e">
<name>.int02</name>
<load_address>0xffe4</load_address>
<run_address>0xffe4</run_address>
<size>0x2</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-2f">
<name>.int03</name>
<load_address>0xffe6</load_address>
<run_address>0xffe6</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2b"/>
</object_component>
<object_component id="oc-30">
<name>.int05</name>
<load_address>0xffea</load_address>
<run_address>0xffea</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2c"/>
</object_component>
<object_component id="oc-31">
<name>.int06</name>
<load_address>0xffec</load_address>
<run_address>0xffec</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2d"/>
</object_component>
<object_component id="oc-32">
<name>.int07</name>
<load_address>0xffee</load_address>
<run_address>0xffee</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-33">
<name>.int08</name>
<load_address>0xfff0</load_address>
<run_address>0xfff0</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-34">
<name>.int09</name>
<load_address>0xfff2</load_address>
<run_address>0xfff2</run_address>
<size>0x2</size>
<input_file_ref idref="fl-30"/>
</object_component>
<object_component id="oc-35">
<name>.int10</name>
<load_address>0xfff4</load_address>
<run_address>0xfff4</run_address>
<size>0x2</size>
<input_file_ref idref="fl-31"/>
</object_component>
<object_component id="oc-36">
<name>.int11</name>
<load_address>0xfff6</load_address>
<run_address>0xfff6</run_address>
<size>0x2</size>
<input_file_ref idref="fl-32"/>
</object_component>
<object_component id="oc-37">
<name>.int12</name>
<load_address>0xfff8</load_address>
<run_address>0xfff8</run_address>
<size>0x2</size>
<input_file_ref idref="fl-33"/>
</object_component>
<object_component id="oc-38">
<name>.int13</name>
<load_address>0xfffa</load_address>
<run_address>0xfffa</run_address>
<size>0x2</size>
<input_file_ref idref="fl-34"/>
</object_component>
<object_component id="oc-39">
<name>.int14</name>
<load_address>0xfffc</load_address>
<run_address>0xfffc</run_address>
<size>0x2</size>
<input_file_ref idref="fl-35"/>
</object_component>
<object_component id="oc-50">
<name>.reset</name>
<load_address>0xfffe</load_address>
<run_address>0xfffe</run_address>
<size>0x2</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-177">
<name>.common:playerState</name>
<uninitialized>true</uninitialized>
<run_address>0x216</run_address>
<size>0x2</size>
</object_component>
<object_component id="oc-2d8">
<name>.common:FatFs</name>
<uninitialized>true</uninitialized>
<run_address>0x210</run_address>
<size>0x2</size>
</object_component>
<object_component id="oc-e0">
<name>.common:file_count</name>
<uninitialized>true</uninitialized>
<run_address>0x214</run_address>
<size>0x2</size>
</object_component>
<object_component id="oc-d9">
<name>.common:dir_count</name>
<uninitialized>true</uninitialized>
<run_address>0x212</run_address>
<size>0x2</size>
</object_component>
<object_component id="oc-ac">
<name>.common:filename</name>
<uninitialized>true</uninitialized>
<run_address>0x200</run_address>
<size>0x10</size>
</object_component>
<object_component id="oc-127">
<name>.data</name>
<load_address>0x218</load_address>
<run_address>0x218</run_address>
<size>0x4</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-129">
<name>.data</name>
<load_address>0x21c</load_address>
<run_address>0x21c</run_address>
<size>0x4</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-173">
<name>.data</name>
<load_address>0x220</load_address>
<run_address>0x220</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-3b">
<name>.debug_line</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x44</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-43">
<name>.debug_line</name>
<load_address>0x44</load_address>
<run_address>0x44</run_address>
<size>0x50</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-46">
<name>.debug_line</name>
<load_address>0x94</load_address>
<run_address>0x94</run_address>
<size>0x4a</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-4d">
<name>.debug_line</name>
<load_address>0xde</load_address>
<run_address>0xde</run_address>
<size>0x49</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-53">
<name>.debug_line</name>
<load_address>0x127</load_address>
<run_address>0x127</run_address>
<size>0x57</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-57">
<name>.debug_line</name>
<load_address>0x17e</load_address>
<run_address>0x17e</run_address>
<size>0x3c</size>
<input_file_ref idref="fl-36"/>
</object_component>
<object_component id="oc-5b">
<name>.debug_line</name>
<load_address>0x1ba</load_address>
<run_address>0x1ba</run_address>
<size>0x3e</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-61">
<name>.debug_line</name>
<load_address>0x1f8</load_address>
<run_address>0x1f8</run_address>
<size>0x6b</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-67">
<name>.debug_line</name>
<load_address>0x263</load_address>
<run_address>0x263</run_address>
<size>0xa2</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-6d">
<name>.debug_line</name>
<load_address>0x305</load_address>
<run_address>0x305</run_address>
<size>0x3a</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-72">
<name>.debug_line</name>
<load_address>0x33f</load_address>
<run_address>0x33f</run_address>
<size>0x45</size>
<input_file_ref idref="fl-3b"/>
</object_component>
<object_component id="oc-77">
<name>.debug_line</name>
<load_address>0x384</load_address>
<run_address>0x384</run_address>
<size>0x3a</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-7e">
<name>.debug_line</name>
<load_address>0x3be</load_address>
<run_address>0x3be</run_address>
<size>0x34</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-86">
<name>.debug_line</name>
<load_address>0x3f2</load_address>
<run_address>0x3f2</run_address>
<size>0x80</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-8b">
<name>.debug_line</name>
<load_address>0x472</load_address>
<run_address>0x472</run_address>
<size>0x39</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-8f">
<name>.debug_line</name>
<load_address>0x4ab</load_address>
<run_address>0x4ab</run_address>
<size>0x2a</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-92">
<name>.debug_line</name>
<load_address>0x4d5</load_address>
<run_address>0x4d5</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-96">
<name>.debug_line</name>
<load_address>0x4f5</load_address>
<run_address>0x4f5</run_address>
<size>0x72</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-9e">
<name>.debug_line</name>
<load_address>0x567</load_address>
<run_address>0x567</run_address>
<size>0x3f</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-a3">
<name>.debug_line</name>
<load_address>0x5a6</load_address>
<run_address>0x5a6</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-ab">
<name>.debug_line</name>
<load_address>0x5f3</load_address>
<run_address>0x5f3</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-ae">
<name>.debug_line</name>
<load_address>0x640</load_address>
<run_address>0x640</run_address>
<size>0xc8</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-b4">
<name>.debug_line</name>
<load_address>0x708</load_address>
<run_address>0x708</run_address>
<size>0x46</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-bd">
<name>.debug_line</name>
<load_address>0x74e</load_address>
<run_address>0x74e</run_address>
<size>0x40</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-c1">
<name>.debug_line</name>
<load_address>0x78e</load_address>
<run_address>0x78e</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-c7">
<name>.debug_line</name>
<load_address>0x7dc</load_address>
<run_address>0x7dc</run_address>
<size>0x52</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-cc">
<name>.debug_line</name>
<load_address>0x82e</load_address>
<run_address>0x82e</run_address>
<size>0x48</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-d0">
<name>.debug_line</name>
<load_address>0x876</load_address>
<run_address>0x876</run_address>
<size>0x3c</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-d7">
<name>.debug_line</name>
<load_address>0x8b2</load_address>
<run_address>0x8b2</run_address>
<size>0xa1</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-de">
<name>.debug_line</name>
<load_address>0x953</load_address>
<run_address>0x953</run_address>
<size>0x57</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-e2">
<name>.debug_line</name>
<load_address>0x9aa</load_address>
<run_address>0x9aa</run_address>
<size>0x51</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-e7">
<name>.debug_line</name>
<load_address>0x9fb</load_address>
<run_address>0x9fb</run_address>
<size>0x4c</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-ee">
<name>.debug_line</name>
<load_address>0xa47</load_address>
<run_address>0xa47</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-f6">
<name>.debug_line</name>
<load_address>0xa73</load_address>
<run_address>0xa73</run_address>
<size>0x44</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-fb">
<name>.debug_line</name>
<load_address>0xab7</load_address>
<run_address>0xab7</run_address>
<size>0x44</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-ff">
<name>.debug_line</name>
<load_address>0xafb</load_address>
<run_address>0xafb</run_address>
<size>0x20</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-101">
<name>.debug_line</name>
<load_address>0xb1b</load_address>
<run_address>0xb1b</run_address>
<size>0x20</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-104">
<name>.debug_line</name>
<load_address>0xb3b</load_address>
<run_address>0xb3b</run_address>
<size>0x20</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-107">
<name>.debug_line</name>
<load_address>0xb5b</load_address>
<run_address>0xb5b</run_address>
<size>0x47</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-10c">
<name>.debug_line</name>
<load_address>0xba2</load_address>
<run_address>0xba2</run_address>
<size>0x52</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-111">
<name>.debug_line</name>
<load_address>0xbf4</load_address>
<run_address>0xbf4</run_address>
<size>0x50</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-115">
<name>.debug_line</name>
<load_address>0xc44</load_address>
<run_address>0xc44</run_address>
<size>0x47</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-11c">
<name>.debug_line</name>
<load_address>0xc8b</load_address>
<run_address>0xc8b</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-39"/>
</object_component>
<object_component id="oc-123">
<name>.debug_line</name>
<load_address>0xce9</load_address>
<run_address>0xce9</run_address>
<size>0x6b</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-12d">
<name>.debug_line</name>
<load_address>0xd54</load_address>
<run_address>0xd54</run_address>
<size>0x4b</size>
<input_file_ref idref="fl-3c"/>
</object_component>
<object_component id="oc-131">
<name>.debug_line</name>
<load_address>0xd9f</load_address>
<run_address>0xd9f</run_address>
<size>0x193</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-134">
<name>.debug_line</name>
<load_address>0xf32</load_address>
<run_address>0xf32</run_address>
<size>0x30</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-139">
<name>.debug_line</name>
<load_address>0xf62</load_address>
<run_address>0xf62</run_address>
<size>0x6f</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-13d">
<name>.debug_line</name>
<load_address>0xfd1</load_address>
<run_address>0xfd1</run_address>
<size>0x43</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-143">
<name>.debug_line</name>
<load_address>0x1014</load_address>
<run_address>0x1014</run_address>
<size>0x4f</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-14a">
<name>.debug_line</name>
<load_address>0x1063</load_address>
<run_address>0x1063</run_address>
<size>0x48</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-14e">
<name>.debug_line</name>
<load_address>0x10ab</load_address>
<run_address>0x10ab</run_address>
<size>0x7b</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-154">
<name>.debug_line</name>
<load_address>0x1126</load_address>
<run_address>0x1126</run_address>
<size>0x43</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-159">
<name>.debug_line</name>
<load_address>0x1169</load_address>
<run_address>0x1169</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-15e">
<name>.debug_line</name>
<load_address>0x1197</load_address>
<run_address>0x1197</run_address>
<size>0x46</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-166">
<name>.debug_line</name>
<load_address>0x11dd</load_address>
<run_address>0x11dd</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-16b">
<name>.debug_line</name>
<load_address>0x123b</load_address>
<run_address>0x123b</run_address>
<size>0x97</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-16f">
<name>.debug_line</name>
<load_address>0x12d2</load_address>
<run_address>0x12d2</run_address>
<size>0x52</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-179">
<name>.debug_line</name>
<load_address>0x1324</load_address>
<run_address>0x1324</run_address>
<size>0x4f</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-17f">
<name>.debug_line</name>
<load_address>0x1373</load_address>
<run_address>0x1373</run_address>
<size>0x47</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-186">
<name>.debug_line</name>
<load_address>0x13ba</load_address>
<run_address>0x13ba</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-189">
<name>.debug_line</name>
<load_address>0x13e8</load_address>
<run_address>0x13e8</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-18f">
<name>.debug_line</name>
<load_address>0x1416</load_address>
<run_address>0x1416</run_address>
<size>0x46</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-193">
<name>.debug_line</name>
<load_address>0x145c</load_address>
<run_address>0x145c</run_address>
<size>0x4c</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-199">
<name>.debug_line</name>
<load_address>0x14a8</load_address>
<run_address>0x14a8</run_address>
<size>0x4a</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-19e">
<name>.debug_line</name>
<load_address>0x14f2</load_address>
<run_address>0x14f2</run_address>
<size>0x46</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1a3">
<name>.debug_line</name>
<load_address>0x1538</load_address>
<run_address>0x1538</run_address>
<size>0x49</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1ae">
<name>.debug_line</name>
<load_address>0x1581</load_address>
<run_address>0x1581</run_address>
<size>0x54</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-1b5">
<name>.debug_line</name>
<load_address>0x15d5</load_address>
<run_address>0x15d5</run_address>
<size>0x54</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1b9">
<name>.debug_line</name>
<load_address>0x1629</load_address>
<run_address>0x1629</run_address>
<size>0x53</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-1bd">
<name>.debug_line</name>
<load_address>0x167c</load_address>
<run_address>0x167c</run_address>
<size>0x64</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-1c5">
<name>.debug_line</name>
<load_address>0x16e0</load_address>
<run_address>0x16e0</run_address>
<size>0x69</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1c9">
<name>.debug_line</name>
<load_address>0x1749</load_address>
<run_address>0x1749</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1ce">
<name>.debug_line</name>
<load_address>0x17b5</load_address>
<run_address>0x17b5</run_address>
<size>0x52</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1d5">
<name>.debug_line</name>
<load_address>0x1807</load_address>
<run_address>0x1807</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3b"/>
</object_component>
<object_component id="oc-1da">
<name>.debug_line</name>
<load_address>0x1827</load_address>
<run_address>0x1827</run_address>
<size>0x53</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1dd">
<name>.debug_line</name>
<load_address>0x187a</load_address>
<run_address>0x187a</run_address>
<size>0x6f</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1e6">
<name>.debug_line</name>
<load_address>0x18e9</load_address>
<run_address>0x18e9</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1e8">
<name>.debug_line</name>
<load_address>0x1918</load_address>
<run_address>0x1918</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1ed">
<name>.debug_line</name>
<load_address>0x1947</load_address>
<run_address>0x1947</run_address>
<size>0x38</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-1f0">
<name>.debug_line</name>
<load_address>0x197f</load_address>
<run_address>0x197f</run_address>
<size>0x2b</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-1f3">
<name>.debug_line</name>
<load_address>0x19aa</load_address>
<run_address>0x19aa</run_address>
<size>0x2a</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-1f9">
<name>.debug_line</name>
<load_address>0x19d4</load_address>
<run_address>0x19d4</run_address>
<size>0x5d</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-1ff">
<name>.debug_line</name>
<load_address>0x1a31</load_address>
<run_address>0x1a31</run_address>
<size>0x8d</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-204">
<name>.debug_line</name>
<load_address>0x1abe</load_address>
<run_address>0x1abe</run_address>
<size>0x56</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-20b">
<name>.debug_line</name>
<load_address>0x1b14</load_address>
<run_address>0x1b14</run_address>
<size>0x70</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-210">
<name>.debug_line</name>
<load_address>0x1b84</load_address>
<run_address>0x1b84</run_address>
<size>0x44</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-214">
<name>.debug_line</name>
<load_address>0x1bc8</load_address>
<run_address>0x1bc8</run_address>
<size>0x52</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-217">
<name>.debug_line</name>
<load_address>0x1c1a</load_address>
<run_address>0x1c1a</run_address>
<size>0x94</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-21a">
<name>.debug_line</name>
<load_address>0x1cae</load_address>
<run_address>0x1cae</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-221">
<name>.debug_line</name>
<load_address>0x1cdd</load_address>
<run_address>0x1cdd</run_address>
<size>0x85</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-226">
<name>.debug_line</name>
<load_address>0x1d62</load_address>
<run_address>0x1d62</run_address>
<size>0x65</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-22c">
<name>.debug_line</name>
<load_address>0x1dc7</load_address>
<run_address>0x1dc7</run_address>
<size>0x85</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-231">
<name>.debug_line</name>
<load_address>0x1e4c</load_address>
<run_address>0x1e4c</run_address>
<size>0x4c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-236">
<name>.debug_line</name>
<load_address>0x1e98</load_address>
<run_address>0x1e98</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-23a">
<name>.debug_line</name>
<load_address>0x1ec7</load_address>
<run_address>0x1ec7</run_address>
<size>0x67</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-240">
<name>.debug_line</name>
<load_address>0x1f2e</load_address>
<run_address>0x1f2e</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-247">
<name>.debug_line</name>
<load_address>0x1f5d</load_address>
<run_address>0x1f5d</run_address>
<size>0x30</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-24e">
<name>.debug_line</name>
<load_address>0x1f8d</load_address>
<run_address>0x1f8d</run_address>
<size>0x79</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-250">
<name>.debug_line</name>
<load_address>0x2006</load_address>
<run_address>0x2006</run_address>
<size>0x43</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-252">
<name>.debug_line</name>
<load_address>0x2049</load_address>
<run_address>0x2049</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-256">
<name>.debug_line</name>
<load_address>0x2084</load_address>
<run_address>0x2084</run_address>
<size>0x58</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-25d">
<name>.debug_line</name>
<load_address>0x20dc</load_address>
<run_address>0x20dc</run_address>
<size>0x56</size>
<input_file_ref idref="fl-38"/>
</object_component>
<object_component id="oc-25f">
<name>.debug_line</name>
<load_address>0x2132</load_address>
<run_address>0x2132</run_address>
<size>0x6a</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-26a">
<name>.debug_line</name>
<load_address>0x219c</load_address>
<run_address>0x219c</run_address>
<size>0x4f</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-271">
<name>.debug_line</name>
<load_address>0x21eb</load_address>
<run_address>0x21eb</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-276">
<name>.debug_line</name>
<load_address>0x2257</load_address>
<run_address>0x2257</run_address>
<size>0x8a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-27b">
<name>.debug_line</name>
<load_address>0x22e1</load_address>
<run_address>0x22e1</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-284">
<name>.debug_line</name>
<load_address>0x234f</load_address>
<run_address>0x234f</run_address>
<size>0x20</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-28a">
<name>.debug_line</name>
<load_address>0x236f</load_address>
<run_address>0x236f</run_address>
<size>0x5d</size>
<input_file_ref idref="fl-45"/>
</object_component>
<object_component id="oc-28f">
<name>.debug_line</name>
<load_address>0x23cc</load_address>
<run_address>0x23cc</run_address>
<size>0x58</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-295">
<name>.debug_line</name>
<load_address>0x2424</load_address>
<run_address>0x2424</run_address>
<size>0x46</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-29a">
<name>.debug_line</name>
<load_address>0x246a</load_address>
<run_address>0x246a</run_address>
<size>0x52</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-29d">
<name>.debug_line</name>
<load_address>0x24bc</load_address>
<run_address>0x24bc</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2a4">
<name>.debug_line</name>
<load_address>0x24ea</load_address>
<run_address>0x24ea</run_address>
<size>0x66</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2a9">
<name>.debug_line</name>
<load_address>0x2550</load_address>
<run_address>0x2550</run_address>
<size>0x66</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2b4">
<name>.debug_line</name>
<load_address>0x25b6</load_address>
<run_address>0x25b6</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2ba">
<name>.debug_line</name>
<load_address>0x2614</load_address>
<run_address>0x2614</run_address>
<size>0x50</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2bf">
<name>.debug_line</name>
<load_address>0x2664</load_address>
<run_address>0x2664</run_address>
<size>0x56</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2c6">
<name>.debug_line</name>
<load_address>0x26ba</load_address>
<run_address>0x26ba</run_address>
<size>0x5b</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2ca">
<name>.debug_line</name>
<load_address>0x2715</load_address>
<run_address>0x2715</run_address>
<size>0x55</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2cf">
<name>.debug_line</name>
<load_address>0x276a</load_address>
<run_address>0x276a</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-2d4">
<name>.debug_line</name>
<load_address>0x2796</load_address>
<run_address>0x2796</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-37"/>
</object_component>
<object_component id="oc-2db">
<name>.debug_line</name>
<load_address>0x27e4</load_address>
<run_address>0x27e4</run_address>
<size>0x69</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-2dd">
<name>.debug_line</name>
<load_address>0x284d</load_address>
<run_address>0x284d</run_address>
<size>0x20</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-2e1">
<name>.debug_line</name>
<load_address>0x286d</load_address>
<run_address>0x286d</run_address>
<size>0x4f</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2e7">
<name>.debug_line</name>
<load_address>0x28bc</load_address>
<run_address>0x28bc</run_address>
<size>0x50</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2ee">
<name>.debug_line</name>
<load_address>0x290c</load_address>
<run_address>0x290c</run_address>
<size>0x79</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2f2">
<name>.debug_line</name>
<load_address>0x2985</load_address>
<run_address>0x2985</run_address>
<size>0x48</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2f8">
<name>.debug_line</name>
<load_address>0x29cd</load_address>
<run_address>0x29cd</run_address>
<size>0x51</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-300">
<name>.debug_line</name>
<load_address>0x2a1e</load_address>
<run_address>0x2a1e</run_address>
<size>0x42</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-306">
<name>.debug_line</name>
<load_address>0x2a60</load_address>
<run_address>0x2a60</run_address>
<size>0x20</size>
<input_file_ref idref="fl-45"/>
</object_component>
<object_component id="oc-30a">
<name>.debug_line</name>
<load_address>0x2a80</load_address>
<run_address>0x2a80</run_address>
<size>0x48</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-310">
<name>.debug_line</name>
<load_address>0x2ac8</load_address>
<run_address>0x2ac8</run_address>
<size>0x42</size>
<input_file_ref idref="fl-46"/>
</object_component>
<object_component id="oc-313">
<name>.debug_line</name>
<load_address>0x2b0a</load_address>
<run_address>0x2b0a</run_address>
<size>0x20</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-3c">
<name>.debug_info</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x125</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-42">
<name>.debug_info</name>
<load_address>0x125</load_address>
<run_address>0x125</run_address>
<size>0x194</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-45">
<name>.debug_info</name>
<load_address>0x2b9</load_address>
<run_address>0x2b9</run_address>
<size>0x16a</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-4c">
<name>.debug_info</name>
<load_address>0x423</load_address>
<run_address>0x423</run_address>
<size>0x18e</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-4f">
<name>.debug_info</name>
<load_address>0x5b1</load_address>
<run_address>0x5b1</run_address>
<size>0xaa</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-52">
<name>.debug_info</name>
<load_address>0x65b</load_address>
<run_address>0x65b</run_address>
<size>0x195</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-58">
<name>.debug_info</name>
<load_address>0x7f0</load_address>
<run_address>0x7f0</run_address>
<size>0xc2</size>
<input_file_ref idref="fl-36"/>
</object_component>
<object_component id="oc-5d">
<name>.debug_info</name>
<load_address>0x8b2</load_address>
<run_address>0x8b2</run_address>
<size>0xfd</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-63">
<name>.debug_info</name>
<load_address>0x9af</load_address>
<run_address>0x9af</run_address>
<size>0x14d</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-65">
<name>.debug_info</name>
<load_address>0xafc</load_address>
<run_address>0xafc</run_address>
<size>0x20b</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-6b">
<name>.debug_info</name>
<load_address>0xd07</load_address>
<run_address>0xd07</run_address>
<size>0xcc</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-73">
<name>.debug_info</name>
<load_address>0xdd3</load_address>
<run_address>0xdd3</run_address>
<size>0x157</size>
<input_file_ref idref="fl-3b"/>
</object_component>
<object_component id="oc-75">
<name>.debug_info</name>
<load_address>0xf2a</load_address>
<run_address>0xf2a</run_address>
<size>0x46</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-7a">
<name>.debug_info</name>
<load_address>0xf70</load_address>
<run_address>0xf70</run_address>
<size>0x164</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-7c">
<name>.debug_info</name>
<load_address>0x10d4</load_address>
<run_address>0x10d4</run_address>
<size>0x46</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-81">
<name>.debug_info</name>
<load_address>0x111a</load_address>
<run_address>0x111a</run_address>
<size>0x11f</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-85">
<name>.debug_info</name>
<load_address>0x1239</load_address>
<run_address>0x1239</run_address>
<size>0x1e9</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-88">
<name>.debug_info</name>
<load_address>0x1422</load_address>
<run_address>0x1422</run_address>
<size>0x129</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-89">
<name>.debug_info</name>
<load_address>0x154b</load_address>
<run_address>0x154b</run_address>
<size>0x39</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-90">
<name>.debug_info</name>
<load_address>0x1584</load_address>
<run_address>0x1584</run_address>
<size>0x84</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-97">
<name>.debug_info</name>
<load_address>0x1608</load_address>
<run_address>0x1608</run_address>
<size>0x248</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-9c">
<name>.debug_info</name>
<load_address>0x1850</load_address>
<run_address>0x1850</run_address>
<size>0xfb</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-a0">
<name>.debug_info</name>
<load_address>0x194b</load_address>
<run_address>0x194b</run_address>
<size>0x64f</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-a4">
<name>.debug_info</name>
<load_address>0x1f9a</load_address>
<run_address>0x1f9a</run_address>
<size>0xe8</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-a8">
<name>.debug_info</name>
<load_address>0x2082</load_address>
<run_address>0x2082</run_address>
<size>0x19a</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-af">
<name>.debug_info</name>
<load_address>0x221c</load_address>
<run_address>0x221c</run_address>
<size>0x387</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-b3">
<name>.debug_info</name>
<load_address>0x25a3</load_address>
<run_address>0x25a3</run_address>
<size>0x127</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-bb">
<name>.debug_info</name>
<load_address>0x26ca</load_address>
<run_address>0x26ca</run_address>
<size>0xe1</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-bf">
<name>.debug_info</name>
<load_address>0x27ab</load_address>
<run_address>0x27ab</run_address>
<size>0x2ba</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-c2">
<name>.debug_info</name>
<load_address>0x2a65</load_address>
<run_address>0x2a65</run_address>
<size>0x121</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-c6">
<name>.debug_info</name>
<load_address>0x2b86</load_address>
<run_address>0x2b86</run_address>
<size>0x1a5</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-cb">
<name>.debug_info</name>
<load_address>0x2d2b</load_address>
<run_address>0x2d2b</run_address>
<size>0x178</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-d2">
<name>.debug_info</name>
<load_address>0x2ea3</load_address>
<run_address>0x2ea3</run_address>
<size>0x107</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-d5">
<name>.debug_info</name>
<load_address>0x2faa</load_address>
<run_address>0x2faa</run_address>
<size>0x234</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-dc">
<name>.debug_info</name>
<load_address>0x31de</load_address>
<run_address>0x31de</run_address>
<size>0x1fb</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-e3">
<name>.debug_info</name>
<load_address>0x33d9</load_address>
<run_address>0x33d9</run_address>
<size>0x135</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-e8">
<name>.debug_info</name>
<load_address>0x350e</load_address>
<run_address>0x350e</run_address>
<size>0x180</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-ec">
<name>.debug_info</name>
<load_address>0x368e</load_address>
<run_address>0x368e</run_address>
<size>0x2a3</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-f3">
<name>.debug_info</name>
<load_address>0x3931</load_address>
<run_address>0x3931</run_address>
<size>0x127</size>
<input_file_ref idref="fl-3b"/>
</object_component>
<object_component id="oc-f4">
<name>.debug_info</name>
<load_address>0x3a58</load_address>
<run_address>0x3a58</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-f9">
<name>.debug_info</name>
<load_address>0x3a84</load_address>
<run_address>0x3a84</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-106">
<name>.debug_info</name>
<load_address>0x3ab0</load_address>
<run_address>0x3ab0</run_address>
<size>0x115</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-10b">
<name>.debug_info</name>
<load_address>0x3bc5</load_address>
<run_address>0x3bc5</run_address>
<size>0x18e</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-110">
<name>.debug_info</name>
<load_address>0x3d53</load_address>
<run_address>0x3d53</run_address>
<size>0x19a</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-117">
<name>.debug_info</name>
<load_address>0x3eed</load_address>
<run_address>0x3eed</run_address>
<size>0x117</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-11b">
<name>.debug_info</name>
<load_address>0x4004</load_address>
<run_address>0x4004</run_address>
<size>0xd3</size>
<input_file_ref idref="fl-39"/>
</object_component>
<object_component id="oc-11e">
<name>.debug_info</name>
<load_address>0x40d7</load_address>
<run_address>0x40d7</run_address>
<size>0xd6</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-120">
<name>.debug_info</name>
<load_address>0x41ad</load_address>
<run_address>0x41ad</run_address>
<size>0xce</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-124">
<name>.debug_info</name>
<load_address>0x427b</load_address>
<run_address>0x427b</run_address>
<size>0x1a1</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-128">
<name>.debug_info</name>
<load_address>0x441c</load_address>
<run_address>0x441c</run_address>
<size>0xc8</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-12a">
<name>.debug_info</name>
<load_address>0x44e4</load_address>
<run_address>0x44e4</run_address>
<size>0xa5</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-12c">
<name>.debug_info</name>
<load_address>0x4589</load_address>
<run_address>0x4589</run_address>
<size>0xd4</size>
<input_file_ref idref="fl-3c"/>
</object_component>
<object_component id="oc-132">
<name>.debug_info</name>
<load_address>0x465d</load_address>
<run_address>0x465d</run_address>
<size>0xbb</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-137">
<name>.debug_info</name>
<load_address>0x4718</load_address>
<run_address>0x4718</run_address>
<size>0xc8</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-13f">
<name>.debug_info</name>
<load_address>0x47e0</load_address>
<run_address>0x47e0</run_address>
<size>0xd4</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-142">
<name>.debug_info</name>
<load_address>0x48b4</load_address>
<run_address>0x48b4</run_address>
<size>0x176</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-147">
<name>.debug_info</name>
<load_address>0x4a2a</load_address>
<run_address>0x4a2a</run_address>
<size>0x12f</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-14c">
<name>.debug_info</name>
<load_address>0x4b59</load_address>
<run_address>0x4b59</run_address>
<size>0x256</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-151">
<name>.debug_info</name>
<load_address>0x4daf</load_address>
<run_address>0x4daf</run_address>
<size>0x1ee</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-152">
<name>.debug_info</name>
<load_address>0x4f9d</load_address>
<run_address>0x4f9d</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-157">
<name>.debug_info</name>
<load_address>0x4fc9</load_address>
<run_address>0x4fc9</run_address>
<size>0x69</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-15d">
<name>.debug_info</name>
<load_address>0x5032</load_address>
<run_address>0x5032</run_address>
<size>0x14e</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-162">
<name>.debug_info</name>
<load_address>0x5180</load_address>
<run_address>0x5180</run_address>
<size>0x38a</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-164">
<name>.debug_info</name>
<load_address>0x550a</load_address>
<run_address>0x550a</run_address>
<size>0x17b</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-169">
<name>.debug_info</name>
<load_address>0x5685</load_address>
<run_address>0x5685</run_address>
<size>0x240</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-16e">
<name>.debug_info</name>
<load_address>0x58c5</load_address>
<run_address>0x58c5</run_address>
<size>0x16d</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-174">
<name>.debug_info</name>
<load_address>0x5a32</load_address>
<run_address>0x5a32</run_address>
<size>0xd8</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-17a">
<name>.debug_info</name>
<load_address>0x5b0a</load_address>
<run_address>0x5b0a</run_address>
<size>0x15a</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-180">
<name>.debug_info</name>
<load_address>0x5c64</load_address>
<run_address>0x5c64</run_address>
<size>0x15d</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-187">
<name>.debug_info</name>
<load_address>0x5dc1</load_address>
<run_address>0x5dc1</run_address>
<size>0x39</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-18e">
<name>.debug_info</name>
<load_address>0x5dfa</load_address>
<run_address>0x5dfa</run_address>
<size>0x16d</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-194">
<name>.debug_info</name>
<load_address>0x5f67</load_address>
<run_address>0x5f67</run_address>
<size>0x161</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-198">
<name>.debug_info</name>
<load_address>0x60c8</load_address>
<run_address>0x60c8</run_address>
<size>0x155</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-19d">
<name>.debug_info</name>
<load_address>0x621d</load_address>
<run_address>0x621d</run_address>
<size>0x11e</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1a4">
<name>.debug_info</name>
<load_address>0x633b</load_address>
<run_address>0x633b</run_address>
<size>0x173</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1a8">
<name>.debug_info</name>
<load_address>0x64ae</load_address>
<run_address>0x64ae</run_address>
<size>0x1db</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1aa">
<name>.debug_info</name>
<load_address>0x6689</load_address>
<run_address>0x6689</run_address>
<size>0x13c</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-1ac">
<name>.debug_info</name>
<load_address>0x67c5</load_address>
<run_address>0x67c5</run_address>
<size>0x22a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1b0">
<name>.debug_info</name>
<load_address>0x69ef</load_address>
<run_address>0x69ef</run_address>
<size>0x12c</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-1b3">
<name>.debug_info</name>
<load_address>0x6b1b</load_address>
<run_address>0x6b1b</run_address>
<size>0x166</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1b8">
<name>.debug_info</name>
<load_address>0x6c81</load_address>
<run_address>0x6c81</run_address>
<size>0x1e3</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-1be">
<name>.debug_info</name>
<load_address>0x6e64</load_address>
<run_address>0x6e64</run_address>
<size>0xd6</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-1c3">
<name>.debug_info</name>
<load_address>0x6f3a</load_address>
<run_address>0x6f3a</run_address>
<size>0x19f</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1c8">
<name>.debug_info</name>
<load_address>0x70d9</load_address>
<run_address>0x70d9</run_address>
<size>0x1ba</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1cf">
<name>.debug_info</name>
<load_address>0x7293</load_address>
<run_address>0x7293</run_address>
<size>0x11f</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1d8">
<name>.debug_info</name>
<load_address>0x73b2</load_address>
<run_address>0x73b2</run_address>
<size>0x133</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1df">
<name>.debug_info</name>
<load_address>0x74e5</load_address>
<run_address>0x74e5</run_address>
<size>0x170</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1ec">
<name>.debug_info</name>
<load_address>0x7655</load_address>
<run_address>0x7655</run_address>
<size>0xd8</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-1f1">
<name>.debug_info</name>
<load_address>0x772d</load_address>
<run_address>0x772d</run_address>
<size>0x10d</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-1fb">
<name>.debug_info</name>
<load_address>0x783a</load_address>
<run_address>0x783a</run_address>
<size>0x153</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-1fe">
<name>.debug_info</name>
<load_address>0x798d</load_address>
<run_address>0x798d</run_address>
<size>0x233</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-203">
<name>.debug_info</name>
<load_address>0x7bc0</load_address>
<run_address>0x7bc0</run_address>
<size>0x1d0</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-208">
<name>.debug_info</name>
<load_address>0x7d90</load_address>
<run_address>0x7d90</run_address>
<size>0x259</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-209">
<name>.debug_info</name>
<load_address>0x7fe9</load_address>
<run_address>0x7fe9</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-20e">
<name>.debug_info</name>
<load_address>0x8015</load_address>
<run_address>0x8015</run_address>
<size>0x86</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-218">
<name>.debug_info</name>
<load_address>0x809b</load_address>
<run_address>0x809b</run_address>
<size>0xbc</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-21f">
<name>.debug_info</name>
<load_address>0x8157</load_address>
<run_address>0x8157</run_address>
<size>0x1a2</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-228">
<name>.debug_info</name>
<load_address>0x82f9</load_address>
<run_address>0x82f9</run_address>
<size>0xd9</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-22a">
<name>.debug_info</name>
<load_address>0x83d2</load_address>
<run_address>0x83d2</run_address>
<size>0x1d8</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-22f">
<name>.debug_info</name>
<load_address>0x85aa</load_address>
<run_address>0x85aa</run_address>
<size>0x146</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-234">
<name>.debug_info</name>
<load_address>0x86f0</load_address>
<run_address>0x86f0</run_address>
<size>0x67</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-23b">
<name>.debug_info</name>
<load_address>0x8757</load_address>
<run_address>0x8757</run_address>
<size>0x170</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-245">
<name>.debug_info</name>
<load_address>0x88c7</load_address>
<run_address>0x88c7</run_address>
<size>0xa7</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-255">
<name>.debug_info</name>
<load_address>0x896e</load_address>
<run_address>0x896e</run_address>
<size>0x13f</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-25b">
<name>.debug_info</name>
<load_address>0x8aad</load_address>
<run_address>0x8aad</run_address>
<size>0xd9</size>
<input_file_ref idref="fl-38"/>
</object_component>
<object_component id="oc-261">
<name>.debug_info</name>
<load_address>0x8b86</load_address>
<run_address>0x8b86</run_address>
<size>0x230</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-264">
<name>.debug_info</name>
<load_address>0x8db6</load_address>
<run_address>0x8db6</run_address>
<size>0x186</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-268">
<name>.debug_info</name>
<load_address>0x8f3c</load_address>
<run_address>0x8f3c</run_address>
<size>0x14d</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-26f">
<name>.debug_info</name>
<load_address>0x9089</load_address>
<run_address>0x9089</run_address>
<size>0x195</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-274">
<name>.debug_info</name>
<load_address>0x921e</load_address>
<run_address>0x921e</run_address>
<size>0x17e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-279">
<name>.debug_info</name>
<load_address>0x939c</load_address>
<run_address>0x939c</run_address>
<size>0x179</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-280">
<name>.debug_info</name>
<load_address>0x9515</load_address>
<run_address>0x9515</run_address>
<size>0x254</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-286">
<name>.debug_info</name>
<load_address>0x9769</load_address>
<run_address>0x9769</run_address>
<size>0x111</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-289">
<name>.debug_info</name>
<load_address>0x987a</load_address>
<run_address>0x987a</run_address>
<size>0x183</size>
<input_file_ref idref="fl-45"/>
</object_component>
<object_component id="oc-28e">
<name>.debug_info</name>
<load_address>0x99fd</load_address>
<run_address>0x99fd</run_address>
<size>0x1de</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-294">
<name>.debug_info</name>
<load_address>0x9bdb</load_address>
<run_address>0x9bdb</run_address>
<size>0x160</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-29b">
<name>.debug_info</name>
<load_address>0x9d3b</load_address>
<run_address>0x9d3b</run_address>
<size>0x39</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2a0">
<name>.debug_info</name>
<load_address>0x9d74</load_address>
<run_address>0x9d74</run_address>
<size>0x2b8</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2a2">
<name>.debug_info</name>
<load_address>0xa02c</load_address>
<run_address>0xa02c</run_address>
<size>0x1ab</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2a7">
<name>.debug_info</name>
<load_address>0xa1d7</load_address>
<run_address>0xa1d7</run_address>
<size>0x1a8</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2b5">
<name>.debug_info</name>
<load_address>0xa37f</load_address>
<run_address>0xa37f</run_address>
<size>0x1b2</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2bc">
<name>.debug_info</name>
<load_address>0xa531</load_address>
<run_address>0xa531</run_address>
<size>0x1c0</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2c1">
<name>.debug_info</name>
<load_address>0xa6f1</load_address>
<run_address>0xa6f1</run_address>
<size>0x1bd</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2c5">
<name>.debug_info</name>
<load_address>0xa8ae</load_address>
<run_address>0xa8ae</run_address>
<size>0x13f</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2cb">
<name>.debug_info</name>
<load_address>0xa9ed</load_address>
<run_address>0xa9ed</run_address>
<size>0x166</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2d5">
<name>.debug_info</name>
<load_address>0xab53</load_address>
<run_address>0xab53</run_address>
<size>0xd3</size>
<input_file_ref idref="fl-37"/>
</object_component>
<object_component id="oc-2df">
<name>.debug_info</name>
<load_address>0xac26</load_address>
<run_address>0xac26</run_address>
<size>0x117</size>
<input_file_ref idref="fl-45"/>
</object_component>
<object_component id="oc-2e2">
<name>.debug_info</name>
<load_address>0xad3d</load_address>
<run_address>0xad3d</run_address>
<size>0x15c</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2e6">
<name>.debug_info</name>
<load_address>0xae99</load_address>
<run_address>0xae99</run_address>
<size>0x19b</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2ec">
<name>.debug_info</name>
<load_address>0xb034</load_address>
<run_address>0xb034</run_address>
<size>0x118</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-2f0">
<name>.debug_info</name>
<load_address>0xb14c</load_address>
<run_address>0xb14c</run_address>
<size>0x160</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2f6">
<name>.debug_info</name>
<load_address>0xb2ac</load_address>
<run_address>0xb2ac</run_address>
<size>0x17c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2ff">
<name>.debug_info</name>
<load_address>0xb428</load_address>
<run_address>0xb428</run_address>
<size>0xd3</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-309">
<name>.debug_info</name>
<load_address>0xb4fb</load_address>
<run_address>0xb4fb</run_address>
<size>0x168</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-30f">
<name>.debug_info</name>
<load_address>0xb663</load_address>
<run_address>0xb663</run_address>
<size>0xd4</size>
<input_file_ref idref="fl-46"/>
</object_component>
<object_component id="oc-353">
<name>.debug_info</name>
<load_address>0xb737</load_address>
<run_address>0xb737</run_address>
<size>0xa6</size>
</object_component>
<object_component id="oc-3d">
<name>.debug_frame</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x42</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-41">
<name>.debug_frame</name>
<load_address>0x42</load_address>
<run_address>0x42</run_address>
<size>0x47</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-47">
<name>.debug_frame</name>
<load_address>0x89</load_address>
<run_address>0x89</run_address>
<size>0x47</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-4a">
<name>.debug_frame</name>
<load_address>0xd0</load_address>
<run_address>0xd0</run_address>
<size>0x47</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-54">
<name>.debug_frame</name>
<load_address>0x117</load_address>
<run_address>0x117</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-5c">
<name>.debug_frame</name>
<load_address>0x191</load_address>
<run_address>0x191</run_address>
<size>0x47</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-60">
<name>.debug_frame</name>
<load_address>0x1d8</load_address>
<run_address>0x1d8</run_address>
<size>0x51</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-66">
<name>.debug_frame</name>
<load_address>0x229</load_address>
<run_address>0x229</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-6c">
<name>.debug_frame</name>
<load_address>0x277</load_address>
<run_address>0x277</run_address>
<size>0x47</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-71">
<name>.debug_frame</name>
<load_address>0x2be</load_address>
<run_address>0x2be</run_address>
<size>0x47</size>
<input_file_ref idref="fl-3b"/>
</object_component>
<object_component id="oc-83">
<name>.debug_frame</name>
<load_address>0x305</load_address>
<run_address>0x305</run_address>
<size>0x51</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-98">
<name>.debug_frame</name>
<load_address>0x356</load_address>
<run_address>0x356</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-9d">
<name>.debug_frame</name>
<load_address>0x3a4</load_address>
<run_address>0x3a4</run_address>
<size>0x50</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-a9">
<name>.debug_frame</name>
<load_address>0x3f4</load_address>
<run_address>0x3f4</run_address>
<size>0x56</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-b1">
<name>.debug_frame</name>
<load_address>0x44a</load_address>
<run_address>0x44a</run_address>
<size>0x4f</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-b5">
<name>.debug_frame</name>
<load_address>0x499</load_address>
<run_address>0x499</run_address>
<size>0x47</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-c3">
<name>.debug_frame</name>
<load_address>0x4e0</load_address>
<run_address>0x4e0</run_address>
<size>0x47</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-c8">
<name>.debug_frame</name>
<load_address>0x527</load_address>
<run_address>0x527</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-cd">
<name>.debug_frame</name>
<load_address>0x574</load_address>
<run_address>0x574</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-d1">
<name>.debug_frame</name>
<load_address>0x5c1</load_address>
<run_address>0x5c1</run_address>
<size>0x50</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-d6">
<name>.debug_frame</name>
<load_address>0x611</load_address>
<run_address>0x611</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-dd">
<name>.debug_frame</name>
<load_address>0x65f</load_address>
<run_address>0x65f</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-e5">
<name>.debug_frame</name>
<load_address>0x6ac</load_address>
<run_address>0x6ac</run_address>
<size>0x47</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-e9">
<name>.debug_frame</name>
<load_address>0x6f3</load_address>
<run_address>0x6f3</run_address>
<size>0x47</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-108">
<name>.debug_frame</name>
<load_address>0x73a</load_address>
<run_address>0x73a</run_address>
<size>0x47</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-10d">
<name>.debug_frame</name>
<load_address>0x781</load_address>
<run_address>0x781</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-112">
<name>.debug_frame</name>
<load_address>0x7ce</load_address>
<run_address>0x7ce</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-116">
<name>.debug_frame</name>
<load_address>0x81b</load_address>
<run_address>0x81b</run_address>
<size>0x47</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-122">
<name>.debug_frame</name>
<load_address>0x862</load_address>
<run_address>0x862</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-144">
<name>.debug_frame</name>
<load_address>0x8af</load_address>
<run_address>0x8af</run_address>
<size>0x56</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-148">
<name>.debug_frame</name>
<load_address>0x905</load_address>
<run_address>0x905</run_address>
<size>0x47</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-14d">
<name>.debug_frame</name>
<load_address>0x94c</load_address>
<run_address>0x94c</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-15f">
<name>.debug_frame</name>
<load_address>0x9b8</load_address>
<run_address>0x9b8</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-165">
<name>.debug_frame</name>
<load_address>0xa05</load_address>
<run_address>0xa05</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-16a">
<name>.debug_frame</name>
<load_address>0xa53</load_address>
<run_address>0xa53</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-170">
<name>.debug_frame</name>
<load_address>0xaa1</load_address>
<run_address>0xaa1</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-17c">
<name>.debug_frame</name>
<load_address>0xaee</load_address>
<run_address>0xaee</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-182">
<name>.debug_frame</name>
<load_address>0xb3b</load_address>
<run_address>0xb3b</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-191">
<name>.debug_frame</name>
<load_address>0xb88</load_address>
<run_address>0xb88</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-195">
<name>.debug_frame</name>
<load_address>0xbd5</load_address>
<run_address>0xbd5</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-19a">
<name>.debug_frame</name>
<load_address>0xc22</load_address>
<run_address>0xc22</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-19f">
<name>.debug_frame</name>
<load_address>0xc6f</load_address>
<run_address>0xc6f</run_address>
<size>0x47</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1a5">
<name>.debug_frame</name>
<load_address>0xcb6</load_address>
<run_address>0xcb6</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1b1">
<name>.debug_frame</name>
<load_address>0xd03</load_address>
<run_address>0xd03</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-1b4">
<name>.debug_frame</name>
<load_address>0xd50</load_address>
<run_address>0xd50</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1bb">
<name>.debug_frame</name>
<load_address>0xd9d</load_address>
<run_address>0xd9d</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-1c4">
<name>.debug_frame</name>
<load_address>0xdea</load_address>
<run_address>0xdea</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1ca">
<name>.debug_frame</name>
<load_address>0xe38</load_address>
<run_address>0xe38</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1d0">
<name>.debug_frame</name>
<load_address>0xe85</load_address>
<run_address>0xe85</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1d9">
<name>.debug_frame</name>
<load_address>0xed2</load_address>
<run_address>0xed2</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1de">
<name>.debug_frame</name>
<load_address>0xf1f</load_address>
<run_address>0xf1f</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1eb">
<name>.debug_frame</name>
<load_address>0xf6c</load_address>
<run_address>0xf6c</run_address>
<size>0x47</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-1fc">
<name>.debug_frame</name>
<load_address>0xfb3</load_address>
<run_address>0xfb3</run_address>
<size>0x47</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-200">
<name>.debug_frame</name>
<load_address>0xffa</load_address>
<run_address>0xffa</run_address>
<size>0x67</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-205">
<name>.debug_frame</name>
<load_address>0x1061</load_address>
<run_address>0x1061</run_address>
<size>0x5b</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-220">
<name>.debug_frame</name>
<load_address>0x10bc</load_address>
<run_address>0x10bc</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-22b">
<name>.debug_frame</name>
<load_address>0x110a</load_address>
<run_address>0x110a</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-230">
<name>.debug_frame</name>
<load_address>0x1158</load_address>
<run_address>0x1158</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-23c">
<name>.debug_frame</name>
<load_address>0x11a5</load_address>
<run_address>0x11a5</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-254">
<name>.debug_frame</name>
<load_address>0x11f2</load_address>
<run_address>0x11f2</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-260">
<name>.debug_frame</name>
<load_address>0x123f</load_address>
<run_address>0x123f</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-269">
<name>.debug_frame</name>
<load_address>0x128c</load_address>
<run_address>0x128c</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-270">
<name>.debug_frame</name>
<load_address>0x12d9</load_address>
<run_address>0x12d9</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-275">
<name>.debug_frame</name>
<load_address>0x1327</load_address>
<run_address>0x1327</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-27a">
<name>.debug_frame</name>
<load_address>0x1375</load_address>
<run_address>0x1375</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-28b">
<name>.debug_frame</name>
<load_address>0x13c3</load_address>
<run_address>0x13c3</run_address>
<size>0x47</size>
<input_file_ref idref="fl-45"/>
</object_component>
<object_component id="oc-290">
<name>.debug_frame</name>
<load_address>0x140a</load_address>
<run_address>0x140a</run_address>
<size>0x51</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-296">
<name>.debug_frame</name>
<load_address>0x145b</load_address>
<run_address>0x145b</run_address>
<size>0x47</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-2a3">
<name>.debug_frame</name>
<load_address>0x14a2</load_address>
<run_address>0x14a2</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2a8">
<name>.debug_frame</name>
<load_address>0x14ef</load_address>
<run_address>0x14ef</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2b3">
<name>.debug_frame</name>
<load_address>0x153d</load_address>
<run_address>0x153d</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2bb">
<name>.debug_frame</name>
<load_address>0x158a</load_address>
<run_address>0x158a</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2c0">
<name>.debug_frame</name>
<load_address>0x15d7</load_address>
<run_address>0x15d7</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2c4">
<name>.debug_frame</name>
<load_address>0x1624</load_address>
<run_address>0x1624</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2c9">
<name>.debug_frame</name>
<load_address>0x1671</load_address>
<run_address>0x1671</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2e3">
<name>.debug_frame</name>
<load_address>0x16be</load_address>
<run_address>0x16be</run_address>
<size>0x47</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2e8">
<name>.debug_frame</name>
<load_address>0x1705</load_address>
<run_address>0x1705</run_address>
<size>0x56</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2f1">
<name>.debug_frame</name>
<load_address>0x175b</load_address>
<run_address>0x175b</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2f7">
<name>.debug_frame</name>
<load_address>0x17a8</load_address>
<run_address>0x17a8</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-30b">
<name>.debug_frame</name>
<load_address>0x17f5</load_address>
<run_address>0x17f5</run_address>
<size>0x47</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-3e">
<name>.debug_aranges</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-40">
<name>.debug_aranges</name>
<load_address>0x20</load_address>
<run_address>0x20</run_address>
<size>0x20</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-48">
<name>.debug_aranges</name>
<load_address>0x40</load_address>
<run_address>0x40</run_address>
<size>0x20</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-4b">
<name>.debug_aranges</name>
<load_address>0x60</load_address>
<run_address>0x60</run_address>
<size>0x20</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-55">
<name>.debug_aranges</name>
<load_address>0x80</load_address>
<run_address>0x80</run_address>
<size>0x20</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-59">
<name>.debug_aranges</name>
<load_address>0xa0</load_address>
<run_address>0xa0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-36"/>
</object_component>
<object_component id="oc-5e">
<name>.debug_aranges</name>
<load_address>0xc0</load_address>
<run_address>0xc0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-62">
<name>.debug_aranges</name>
<load_address>0xe0</load_address>
<run_address>0xe0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-68">
<name>.debug_aranges</name>
<load_address>0x100</load_address>
<run_address>0x100</run_address>
<size>0x20</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-6a">
<name>.debug_aranges</name>
<load_address>0x120</load_address>
<run_address>0x120</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-70">
<name>.debug_aranges</name>
<load_address>0x140</load_address>
<run_address>0x140</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3b"/>
</object_component>
<object_component id="oc-84">
<name>.debug_aranges</name>
<load_address>0x160</load_address>
<run_address>0x160</run_address>
<size>0x20</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-99">
<name>.debug_aranges</name>
<load_address>0x180</load_address>
<run_address>0x180</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-9b">
<name>.debug_aranges</name>
<load_address>0x1a0</load_address>
<run_address>0x1a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-a2">
<name>.debug_aranges</name>
<load_address>0x1c0</load_address>
<run_address>0x1c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-aa">
<name>.debug_aranges</name>
<load_address>0x1e0</load_address>
<run_address>0x1e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-b0">
<name>.debug_aranges</name>
<load_address>0x200</load_address>
<run_address>0x200</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-b6">
<name>.debug_aranges</name>
<load_address>0x220</load_address>
<run_address>0x220</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-bc">
<name>.debug_aranges</name>
<load_address>0x240</load_address>
<run_address>0x240</run_address>
<size>0x20</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-c4">
<name>.debug_aranges</name>
<load_address>0x260</load_address>
<run_address>0x260</run_address>
<size>0x20</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-c9">
<name>.debug_aranges</name>
<load_address>0x280</load_address>
<run_address>0x280</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-ce">
<name>.debug_aranges</name>
<load_address>0x2a0</load_address>
<run_address>0x2a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-d3">
<name>.debug_aranges</name>
<load_address>0x2c0</load_address>
<run_address>0x2c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-d8">
<name>.debug_aranges</name>
<load_address>0x2e0</load_address>
<run_address>0x2e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-df">
<name>.debug_aranges</name>
<load_address>0x300</load_address>
<run_address>0x300</run_address>
<size>0x20</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-e4">
<name>.debug_aranges</name>
<load_address>0x320</load_address>
<run_address>0x320</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-ea">
<name>.debug_aranges</name>
<load_address>0x340</load_address>
<run_address>0x340</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-109">
<name>.debug_aranges</name>
<load_address>0x360</load_address>
<run_address>0x360</run_address>
<size>0x20</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-10e">
<name>.debug_aranges</name>
<load_address>0x380</load_address>
<run_address>0x380</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-113">
<name>.debug_aranges</name>
<load_address>0x3a0</load_address>
<run_address>0x3a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-118">
<name>.debug_aranges</name>
<load_address>0x3c0</load_address>
<run_address>0x3c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-11a">
<name>.debug_aranges</name>
<load_address>0x3e0</load_address>
<run_address>0x3e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-39"/>
</object_component>
<object_component id="oc-125">
<name>.debug_aranges</name>
<load_address>0x400</load_address>
<run_address>0x400</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-12e">
<name>.debug_aranges</name>
<load_address>0x420</load_address>
<run_address>0x420</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3c"/>
</object_component>
<object_component id="oc-13e">
<name>.debug_aranges</name>
<load_address>0x440</load_address>
<run_address>0x440</run_address>
<size>0x20</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-145">
<name>.debug_aranges</name>
<load_address>0x460</load_address>
<run_address>0x460</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-149">
<name>.debug_aranges</name>
<load_address>0x480</load_address>
<run_address>0x480</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-14f">
<name>.debug_aranges</name>
<load_address>0x4a0</load_address>
<run_address>0x4a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-160">
<name>.debug_aranges</name>
<load_address>0x4c0</load_address>
<run_address>0x4c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-167">
<name>.debug_aranges</name>
<load_address>0x4e0</load_address>
<run_address>0x4e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-16c">
<name>.debug_aranges</name>
<load_address>0x500</load_address>
<run_address>0x500</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-171">
<name>.debug_aranges</name>
<load_address>0x520</load_address>
<run_address>0x520</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-17b">
<name>.debug_aranges</name>
<load_address>0x540</load_address>
<run_address>0x540</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-181">
<name>.debug_aranges</name>
<load_address>0x560</load_address>
<run_address>0x560</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-190">
<name>.debug_aranges</name>
<load_address>0x580</load_address>
<run_address>0x580</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-196">
<name>.debug_aranges</name>
<load_address>0x5a0</load_address>
<run_address>0x5a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-19b">
<name>.debug_aranges</name>
<load_address>0x5c0</load_address>
<run_address>0x5c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1a0">
<name>.debug_aranges</name>
<load_address>0x5e0</load_address>
<run_address>0x5e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1a6">
<name>.debug_aranges</name>
<load_address>0x600</load_address>
<run_address>0x600</run_address>
<size>0x20</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1af">
<name>.debug_aranges</name>
<load_address>0x620</load_address>
<run_address>0x620</run_address>
<size>0x20</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-1b6">
<name>.debug_aranges</name>
<load_address>0x640</load_address>
<run_address>0x640</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1ba">
<name>.debug_aranges</name>
<load_address>0x660</load_address>
<run_address>0x660</run_address>
<size>0x20</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-1bf">
<name>.debug_aranges</name>
<load_address>0x680</load_address>
<run_address>0x680</run_address>
<size>0x20</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-1c6">
<name>.debug_aranges</name>
<load_address>0x6a0</load_address>
<run_address>0x6a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1cb">
<name>.debug_aranges</name>
<load_address>0x6c0</load_address>
<run_address>0x6c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1d1">
<name>.debug_aranges</name>
<load_address>0x6e0</load_address>
<run_address>0x6e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1db">
<name>.debug_aranges</name>
<load_address>0x700</load_address>
<run_address>0x700</run_address>
<size>0x20</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1e0">
<name>.debug_aranges</name>
<load_address>0x720</load_address>
<run_address>0x720</run_address>
<size>0x20</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1ee">
<name>.debug_aranges</name>
<load_address>0x740</load_address>
<run_address>0x740</run_address>
<size>0x20</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-1fa">
<name>.debug_aranges</name>
<load_address>0x760</load_address>
<run_address>0x760</run_address>
<size>0x20</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-201">
<name>.debug_aranges</name>
<load_address>0x780</load_address>
<run_address>0x780</run_address>
<size>0x20</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-206">
<name>.debug_aranges</name>
<load_address>0x7a0</load_address>
<run_address>0x7a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-222">
<name>.debug_aranges</name>
<load_address>0x7c0</load_address>
<run_address>0x7c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-227">
<name>.debug_aranges</name>
<load_address>0x7e0</load_address>
<run_address>0x7e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-22d">
<name>.debug_aranges</name>
<load_address>0x800</load_address>
<run_address>0x800</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-232">
<name>.debug_aranges</name>
<load_address>0x820</load_address>
<run_address>0x820</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-23d">
<name>.debug_aranges</name>
<load_address>0x840</load_address>
<run_address>0x840</run_address>
<size>0x20</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-257">
<name>.debug_aranges</name>
<load_address>0x860</load_address>
<run_address>0x860</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-25c">
<name>.debug_aranges</name>
<load_address>0x880</load_address>
<run_address>0x880</run_address>
<size>0x20</size>
<input_file_ref idref="fl-38"/>
</object_component>
<object_component id="oc-262">
<name>.debug_aranges</name>
<load_address>0x8a0</load_address>
<run_address>0x8a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-26b">
<name>.debug_aranges</name>
<load_address>0x8c0</load_address>
<run_address>0x8c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-272">
<name>.debug_aranges</name>
<load_address>0x8e0</load_address>
<run_address>0x8e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-277">
<name>.debug_aranges</name>
<load_address>0x900</load_address>
<run_address>0x900</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-27c">
<name>.debug_aranges</name>
<load_address>0x920</load_address>
<run_address>0x920</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-288">
<name>.debug_aranges</name>
<load_address>0x940</load_address>
<run_address>0x940</run_address>
<size>0x20</size>
<input_file_ref idref="fl-45"/>
</object_component>
<object_component id="oc-291">
<name>.debug_aranges</name>
<load_address>0x960</load_address>
<run_address>0x960</run_address>
<size>0x20</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-293">
<name>.debug_aranges</name>
<load_address>0x980</load_address>
<run_address>0x980</run_address>
<size>0x20</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-2a5">
<name>.debug_aranges</name>
<load_address>0x9a0</load_address>
<run_address>0x9a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2aa">
<name>.debug_aranges</name>
<load_address>0x9c0</load_address>
<run_address>0x9c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2b6">
<name>.debug_aranges</name>
<load_address>0x9e0</load_address>
<run_address>0x9e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2bd">
<name>.debug_aranges</name>
<load_address>0xa00</load_address>
<run_address>0xa00</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2c2">
<name>.debug_aranges</name>
<load_address>0xa20</load_address>
<run_address>0xa20</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2c7">
<name>.debug_aranges</name>
<load_address>0xa40</load_address>
<run_address>0xa40</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2cc">
<name>.debug_aranges</name>
<load_address>0xa60</load_address>
<run_address>0xa60</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2d3">
<name>.debug_aranges</name>
<load_address>0xa80</load_address>
<run_address>0xa80</run_address>
<size>0x20</size>
<input_file_ref idref="fl-37"/>
</object_component>
<object_component id="oc-2e4">
<name>.debug_aranges</name>
<load_address>0xaa0</load_address>
<run_address>0xaa0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2e9">
<name>.debug_aranges</name>
<load_address>0xac0</load_address>
<run_address>0xac0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2f3">
<name>.debug_aranges</name>
<load_address>0xae0</load_address>
<run_address>0xae0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2f9">
<name>.debug_aranges</name>
<load_address>0xb00</load_address>
<run_address>0xb00</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2fe">
<name>.debug_aranges</name>
<load_address>0xb20</load_address>
<run_address>0xb20</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-30c">
<name>.debug_aranges</name>
<load_address>0xb40</load_address>
<run_address>0xb40</run_address>
<size>0x20</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-30e">
<name>.debug_aranges</name>
<load_address>0xb60</load_address>
<run_address>0xb60</run_address>
<size>0x20</size>
<input_file_ref idref="fl-46"/>
</object_component>
<object_component id="oc-6e">
<name>.debug_abbrev</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x58</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-74">
<name>.debug_abbrev</name>
<load_address>0x58</load_address>
<run_address>0x58</run_address>
<size>0x74</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-76">
<name>.debug_abbrev</name>
<load_address>0xcc</load_address>
<run_address>0xcc</run_address>
<size>0x24</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-7b">
<name>.debug_abbrev</name>
<load_address>0xf0</load_address>
<run_address>0xf0</run_address>
<size>0x6f</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-7d">
<name>.debug_abbrev</name>
<load_address>0x15f</load_address>
<run_address>0x15f</run_address>
<size>0x24</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-87">
<name>.debug_abbrev</name>
<load_address>0x183</load_address>
<run_address>0x183</run_address>
<size>0x74</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-8a">
<name>.debug_abbrev</name>
<load_address>0x1f7</load_address>
<run_address>0x1f7</run_address>
<size>0x24</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-8e">
<name>.debug_abbrev</name>
<load_address>0x21b</load_address>
<run_address>0x21b</run_address>
<size>0x29</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-91">
<name>.debug_abbrev</name>
<load_address>0x244</load_address>
<run_address>0x244</run_address>
<size>0x1f</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-b7">
<name>.debug_abbrev</name>
<load_address>0x263</load_address>
<run_address>0x263</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-b8">
<name>.debug_abbrev</name>
<load_address>0x2dd</load_address>
<run_address>0x2dd</run_address>
<size>0x27</size>
<input_file_ref idref="fl-36"/>
</object_component>
<object_component id="oc-b9">
<name>.debug_abbrev</name>
<load_address>0x304</load_address>
<run_address>0x304</run_address>
<size>0x55</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-be">
<name>.debug_abbrev</name>
<load_address>0x359</load_address>
<run_address>0x359</run_address>
<size>0x64</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-eb">
<name>.debug_abbrev</name>
<load_address>0x3bd</load_address>
<run_address>0x3bd</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-ed">
<name>.debug_abbrev</name>
<load_address>0x42b</load_address>
<run_address>0x42b</run_address>
<size>0x67</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-f1">
<name>.debug_abbrev</name>
<load_address>0x492</load_address>
<run_address>0x492</run_address>
<size>0x45</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-f2">
<name>.debug_abbrev</name>
<load_address>0x4d7</load_address>
<run_address>0x4d7</run_address>
<size>0x71</size>
<input_file_ref idref="fl-3b"/>
</object_component>
<object_component id="oc-f5">
<name>.debug_abbrev</name>
<load_address>0x548</load_address>
<run_address>0x548</run_address>
<size>0x24</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-fa">
<name>.debug_abbrev</name>
<load_address>0x56c</load_address>
<run_address>0x56c</run_address>
<size>0x24</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-fe">
<name>.debug_abbrev</name>
<load_address>0x590</load_address>
<run_address>0x590</run_address>
<size>0x57</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-100">
<name>.debug_abbrev</name>
<load_address>0x5e7</load_address>
<run_address>0x5e7</run_address>
<size>0x37</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-102">
<name>.debug_abbrev</name>
<load_address>0x61e</load_address>
<run_address>0x61e</run_address>
<size>0x61</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-103">
<name>.debug_abbrev</name>
<load_address>0x67f</load_address>
<run_address>0x67f</run_address>
<size>0x37</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-126">
<name>.debug_abbrev</name>
<load_address>0x6b6</load_address>
<run_address>0x6b6</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-12f">
<name>.debug_abbrev</name>
<load_address>0x724</load_address>
<run_address>0x724</run_address>
<size>0x72</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-130">
<name>.debug_abbrev</name>
<load_address>0x796</load_address>
<run_address>0x796</run_address>
<size>0x133</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-133">
<name>.debug_abbrev</name>
<load_address>0x8c9</load_address>
<run_address>0x8c9</run_address>
<size>0x24</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-138">
<name>.debug_abbrev</name>
<load_address>0x8ed</load_address>
<run_address>0x8ed</run_address>
<size>0x5a</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-140">
<name>.debug_abbrev</name>
<load_address>0x947</load_address>
<run_address>0x947</run_address>
<size>0x49</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-150">
<name>.debug_abbrev</name>
<load_address>0x990</load_address>
<run_address>0x990</run_address>
<size>0x84</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-153">
<name>.debug_abbrev</name>
<load_address>0xa14</load_address>
<run_address>0xa14</run_address>
<size>0x24</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-158">
<name>.debug_abbrev</name>
<load_address>0xa38</load_address>
<run_address>0xa38</run_address>
<size>0x3a</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-17d">
<name>.debug_abbrev</name>
<load_address>0xa72</load_address>
<run_address>0xa72</run_address>
<size>0x85</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-183">
<name>.debug_abbrev</name>
<load_address>0xaf7</load_address>
<run_address>0xaf7</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-184">
<name>.debug_abbrev</name>
<load_address>0xb55</load_address>
<run_address>0xb55</run_address>
<size>0x39</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-185">
<name>.debug_abbrev</name>
<load_address>0xb8e</load_address>
<run_address>0xb8e</run_address>
<size>0x82</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-188">
<name>.debug_abbrev</name>
<load_address>0xc10</load_address>
<run_address>0xc10</run_address>
<size>0x24</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-18c">
<name>.debug_abbrev</name>
<load_address>0xc34</load_address>
<run_address>0xc34</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1a1">
<name>.debug_abbrev</name>
<load_address>0xc92</load_address>
<run_address>0xc92</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1a7">
<name>.debug_abbrev</name>
<load_address>0xd00</load_address>
<run_address>0xd00</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1a9">
<name>.debug_abbrev</name>
<load_address>0xd7a</load_address>
<run_address>0xd7a</run_address>
<size>0x7e</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-1c1">
<name>.debug_abbrev</name>
<load_address>0xdf8</load_address>
<run_address>0xdf8</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1cc">
<name>.debug_abbrev</name>
<load_address>0xe74</load_address>
<run_address>0xe74</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-1d2">
<name>.debug_abbrev</name>
<load_address>0xef0</load_address>
<run_address>0xef0</run_address>
<size>0x60</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1d3">
<name>.debug_abbrev</name>
<load_address>0xf50</load_address>
<run_address>0xf50</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1d4">
<name>.debug_abbrev</name>
<load_address>0xfae</load_address>
<run_address>0xfae</run_address>
<size>0x3e</size>
<input_file_ref idref="fl-3b"/>
</object_component>
<object_component id="oc-1d6">
<name>.debug_abbrev</name>
<load_address>0xfec</load_address>
<run_address>0xfec</run_address>
<size>0x50</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1e1">
<name>.debug_abbrev</name>
<load_address>0x103c</load_address>
<run_address>0x103c</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1e2">
<name>.debug_abbrev</name>
<load_address>0x10b8</load_address>
<run_address>0x10b8</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1e3">
<name>.debug_abbrev</name>
<load_address>0x1132</load_address>
<run_address>0x1132</run_address>
<size>0x50</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1e4">
<name>.debug_abbrev</name>
<load_address>0x1182</load_address>
<run_address>0x1182</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-39"/>
</object_component>
<object_component id="oc-1e5">
<name>.debug_abbrev</name>
<load_address>0x11bd</load_address>
<run_address>0x11bd</run_address>
<size>0x29</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1e7">
<name>.debug_abbrev</name>
<load_address>0x11e6</load_address>
<run_address>0x11e6</run_address>
<size>0x29</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1e9">
<name>.debug_abbrev</name>
<load_address>0x120f</load_address>
<run_address>0x120f</run_address>
<size>0x85</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1ef">
<name>.debug_abbrev</name>
<load_address>0x1294</load_address>
<run_address>0x1294</run_address>
<size>0x29</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-1f2">
<name>.debug_abbrev</name>
<load_address>0x12bd</load_address>
<run_address>0x12bd</run_address>
<size>0x27</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-1f4">
<name>.debug_abbrev</name>
<load_address>0x12e4</load_address>
<run_address>0x12e4</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-3c"/>
</object_component>
<object_component id="oc-1f5">
<name>.debug_abbrev</name>
<load_address>0x131f</load_address>
<run_address>0x131f</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-1f6">
<name>.debug_abbrev</name>
<load_address>0x135a</load_address>
<run_address>0x135a</run_address>
<size>0x71</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-1f7">
<name>.debug_abbrev</name>
<load_address>0x13cb</load_address>
<run_address>0x13cb</run_address>
<size>0x6f</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-207">
<name>.debug_abbrev</name>
<load_address>0x143a</load_address>
<run_address>0x143a</run_address>
<size>0x82</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-20a">
<name>.debug_abbrev</name>
<load_address>0x14bc</load_address>
<run_address>0x14bc</run_address>
<size>0x24</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-20f">
<name>.debug_abbrev</name>
<load_address>0x14e0</load_address>
<run_address>0x14e0</run_address>
<size>0x49</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-213">
<name>.debug_abbrev</name>
<load_address>0x1529</load_address>
<run_address>0x1529</run_address>
<size>0x87</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-215">
<name>.debug_abbrev</name>
<load_address>0x15b0</load_address>
<run_address>0x15b0</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-216">
<name>.debug_abbrev</name>
<load_address>0x162c</load_address>
<run_address>0x162c</run_address>
<size>0xd5</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-219">
<name>.debug_abbrev</name>
<load_address>0x1701</load_address>
<run_address>0x1701</run_address>
<size>0x53</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-223">
<name>.debug_abbrev</name>
<load_address>0x1754</load_address>
<run_address>0x1754</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-233">
<name>.debug_abbrev</name>
<load_address>0x17d0</load_address>
<run_address>0x17d0</run_address>
<size>0x88</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-235">
<name>.debug_abbrev</name>
<load_address>0x1858</load_address>
<run_address>0x1858</run_address>
<size>0x53</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-23e">
<name>.debug_abbrev</name>
<load_address>0x18ab</load_address>
<run_address>0x18ab</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-23f">
<name>.debug_abbrev</name>
<load_address>0x1927</load_address>
<run_address>0x1927</run_address>
<size>0x29</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-241">
<name>.debug_abbrev</name>
<load_address>0x1950</load_address>
<run_address>0x1950</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-242">
<name>.debug_abbrev</name>
<load_address>0x19bc</load_address>
<run_address>0x19bc</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-243">
<name>.debug_abbrev</name>
<load_address>0x1a38</load_address>
<run_address>0x1a38</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-244">
<name>.debug_abbrev</name>
<load_address>0x1ab2</load_address>
<run_address>0x1ab2</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-246">
<name>.debug_abbrev</name>
<load_address>0x1b2c</load_address>
<run_address>0x1b2c</run_address>
<size>0x42</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-24a">
<name>.debug_abbrev</name>
<load_address>0x1b6e</load_address>
<run_address>0x1b6e</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-24b">
<name>.debug_abbrev</name>
<load_address>0x1be8</load_address>
<run_address>0x1be8</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-24c">
<name>.debug_abbrev</name>
<load_address>0x1c46</load_address>
<run_address>0x1c46</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-24d">
<name>.debug_abbrev</name>
<load_address>0x1cc2</load_address>
<run_address>0x1cc2</run_address>
<size>0x85</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-24f">
<name>.debug_abbrev</name>
<load_address>0x1d47</load_address>
<run_address>0x1d47</run_address>
<size>0x4b</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-251">
<name>.debug_abbrev</name>
<load_address>0x1d92</load_address>
<run_address>0x1d92</run_address>
<size>0x9b</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-258">
<name>.debug_abbrev</name>
<load_address>0x1e2d</load_address>
<run_address>0x1e2d</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-259">
<name>.debug_abbrev</name>
<load_address>0x1e9b</load_address>
<run_address>0x1e9b</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-263">
<name>.debug_abbrev</name>
<load_address>0x1f15</load_address>
<run_address>0x1f15</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-265">
<name>.debug_abbrev</name>
<load_address>0x1f91</load_address>
<run_address>0x1f91</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-26c">
<name>.debug_abbrev</name>
<load_address>0x1fcc</load_address>
<run_address>0x1fcc</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-27d">
<name>.debug_abbrev</name>
<load_address>0x2048</load_address>
<run_address>0x2048</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-27e">
<name>.debug_abbrev</name>
<load_address>0x20c4</load_address>
<run_address>0x20c4</run_address>
<size>0x60</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-27f">
<name>.debug_abbrev</name>
<load_address>0x2124</load_address>
<run_address>0x2124</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-281">
<name>.debug_abbrev</name>
<load_address>0x2192</load_address>
<run_address>0x2192</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-282">
<name>.debug_abbrev</name>
<load_address>0x2200</load_address>
<run_address>0x2200</run_address>
<size>0x53</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-283">
<name>.debug_abbrev</name>
<load_address>0x2253</load_address>
<run_address>0x2253</run_address>
<size>0x35</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-285">
<name>.debug_abbrev</name>
<load_address>0x2288</load_address>
<run_address>0x2288</run_address>
<size>0x71</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-297">
<name>.debug_abbrev</name>
<load_address>0x22f9</load_address>
<run_address>0x22f9</run_address>
<size>0x71</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-298">
<name>.debug_abbrev</name>
<load_address>0x236a</load_address>
<run_address>0x236a</run_address>
<size>0x7e</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-299">
<name>.debug_abbrev</name>
<load_address>0x23e8</load_address>
<run_address>0x23e8</run_address>
<size>0x9b</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-29c">
<name>.debug_abbrev</name>
<load_address>0x2483</load_address>
<run_address>0x2483</run_address>
<size>0x24</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2ab">
<name>.debug_abbrev</name>
<load_address>0x24a7</load_address>
<run_address>0x24a7</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2ac">
<name>.debug_abbrev</name>
<load_address>0x2521</load_address>
<run_address>0x2521</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-2ae">
<name>.debug_abbrev</name>
<load_address>0x255c</load_address>
<run_address>0x255c</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2b0">
<name>.debug_abbrev</name>
<load_address>0x25d6</load_address>
<run_address>0x25d6</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2b1">
<name>.debug_abbrev</name>
<load_address>0x2650</load_address>
<run_address>0x2650</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-2b7">
<name>.debug_abbrev</name>
<load_address>0x26be</load_address>
<run_address>0x26be</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2b8">
<name>.debug_abbrev</name>
<load_address>0x272c</load_address>
<run_address>0x272c</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-38"/>
</object_component>
<object_component id="oc-2cd">
<name>.debug_abbrev</name>
<load_address>0x2767</load_address>
<run_address>0x2767</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2ce">
<name>.debug_abbrev</name>
<load_address>0x27e3</load_address>
<run_address>0x27e3</run_address>
<size>0x63</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-2d1">
<name>.debug_abbrev</name>
<load_address>0x2846</load_address>
<run_address>0x2846</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2d6">
<name>.debug_abbrev</name>
<load_address>0x28c0</load_address>
<run_address>0x28c0</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2d7">
<name>.debug_abbrev</name>
<load_address>0x293a</load_address>
<run_address>0x293a</run_address>
<size>0x6a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2d9">
<name>.debug_abbrev</name>
<load_address>0x29a4</load_address>
<run_address>0x29a4</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2da">
<name>.debug_abbrev</name>
<load_address>0x2a1e</load_address>
<run_address>0x2a1e</run_address>
<size>0x61</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-2dc">
<name>.debug_abbrev</name>
<load_address>0x2a7f</load_address>
<run_address>0x2a7f</run_address>
<size>0x35</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-2de">
<name>.debug_abbrev</name>
<load_address>0x2ab4</load_address>
<run_address>0x2ab4</run_address>
<size>0x71</size>
<input_file_ref idref="fl-45"/>
</object_component>
<object_component id="oc-2ea">
<name>.debug_abbrev</name>
<load_address>0x2b25</load_address>
<run_address>0x2b25</run_address>
<size>0x71</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-2eb">
<name>.debug_abbrev</name>
<load_address>0x2b96</load_address>
<run_address>0x2b96</run_address>
<size>0x71</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-2ed">
<name>.debug_abbrev</name>
<load_address>0x2c07</load_address>
<run_address>0x2c07</run_address>
<size>0xa9</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2f4">
<name>.debug_abbrev</name>
<load_address>0x2cb0</load_address>
<run_address>0x2cb0</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2fa">
<name>.debug_abbrev</name>
<load_address>0x2d2a</load_address>
<run_address>0x2d2a</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-2fb">
<name>.debug_abbrev</name>
<load_address>0x2da4</load_address>
<run_address>0x2da4</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-2fc">
<name>.debug_abbrev</name>
<load_address>0x2e12</load_address>
<run_address>0x2e12</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-301">
<name>.debug_abbrev</name>
<load_address>0x2e8e</load_address>
<run_address>0x2e8e</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-302">
<name>.debug_abbrev</name>
<load_address>0x2f08</load_address>
<run_address>0x2f08</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-303">
<name>.debug_abbrev</name>
<load_address>0x2f76</load_address>
<run_address>0x2f76</run_address>
<size>0x7c</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-304">
<name>.debug_abbrev</name>
<load_address>0x2ff2</load_address>
<run_address>0x2ff2</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-37"/>
</object_component>
<object_component id="oc-305">
<name>.debug_abbrev</name>
<load_address>0x302d</load_address>
<run_address>0x302d</run_address>
<size>0x35</size>
<input_file_ref idref="fl-45"/>
</object_component>
<object_component id="oc-307">
<name>.debug_abbrev</name>
<load_address>0x3062</load_address>
<run_address>0x3062</run_address>
<size>0x6f</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-311">
<name>.debug_abbrev</name>
<load_address>0x30d1</load_address>
<run_address>0x30d1</run_address>
<size>0x71</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-312">
<name>.debug_abbrev</name>
<load_address>0x3142</load_address>
<run_address>0x3142</run_address>
<size>0x37</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-314">
<name>.debug_abbrev</name>
<load_address>0x3179</load_address>
<run_address>0x3179</run_address>
<size>0x6a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-315">
<name>.debug_abbrev</name>
<load_address>0x31e3</load_address>
<run_address>0x31e3</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-316">
<name>.debug_abbrev</name>
<load_address>0x324f</load_address>
<run_address>0x324f</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-317">
<name>.debug_abbrev</name>
<load_address>0x328a</load_address>
<run_address>0x328a</run_address>
<size>0x74</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-318">
<name>.debug_abbrev</name>
<load_address>0x32fe</load_address>
<run_address>0x32fe</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-46"/>
</object_component>
<object_component id="oc-354">
<name>.debug_abbrev</name>
<load_address>0x3339</load_address>
<run_address>0x3339</run_address>
<size>0xf</size>
</object_component>
<object_component id="oc-78">
<name>.debug_str</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0xb5</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-7f">
<name>.debug_str</name>
<load_address>0xb5</load_address>
<run_address>0xb5</run_address>
<size>0xaf</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-8c">
<name>.debug_str</name>
<load_address>0x164</load_address>
<run_address>0x164</run_address>
<size>0xa7</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-93">
<name>.debug_str</name>
<load_address>0x20b</load_address>
<run_address>0x20b</run_address>
<size>0xf5</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-ef">
<name>.debug_str</name>
<load_address>0x300</load_address>
<run_address>0x300</run_address>
<size>0x1b8</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-f7">
<name>.debug_str</name>
<load_address>0x4b8</load_address>
<run_address>0x4b8</run_address>
<size>0x9c</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-fc">
<name>.debug_str</name>
<load_address>0x554</load_address>
<run_address>0x554</run_address>
<size>0x9c</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-135">
<name>.debug_str</name>
<load_address>0x5f0</load_address>
<run_address>0x5f0</run_address>
<size>0xe2</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-13a">
<name>.debug_str</name>
<load_address>0x6d2</load_address>
<run_address>0x6d2</run_address>
<size>0x114</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-155">
<name>.debug_str</name>
<load_address>0x7e6</load_address>
<run_address>0x7e6</run_address>
<size>0x9b</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-15a">
<name>.debug_str</name>
<load_address>0x881</load_address>
<run_address>0x881</run_address>
<size>0x98</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-18a">
<name>.debug_str</name>
<load_address>0x919</load_address>
<run_address>0x919</run_address>
<size>0x94</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-20c">
<name>.debug_str</name>
<load_address>0x9ad</load_address>
<run_address>0x9ad</run_address>
<size>0xe8</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-211">
<name>.debug_str</name>
<load_address>0xa95</load_address>
<run_address>0xa95</run_address>
<size>0xb9</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-21b">
<name>.debug_str</name>
<load_address>0xb4e</load_address>
<run_address>0xb4e</run_address>
<size>0x12d</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-237">
<name>.debug_str</name>
<load_address>0xc7b</load_address>
<run_address>0xc7b</run_address>
<size>0xd6</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-248">
<name>.debug_str</name>
<load_address>0xd51</load_address>
<run_address>0xd51</run_address>
<size>0x18b</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-29e">
<name>.debug_str</name>
<load_address>0xedc</load_address>
<run_address>0xedc</run_address>
<size>0x8c</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-79">
<name>.debug_pubtypes</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x48</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-80">
<name>.debug_pubtypes</name>
<load_address>0x48</load_address>
<run_address>0x48</run_address>
<size>0x48</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-8d">
<name>.debug_pubtypes</name>
<load_address>0x90</load_address>
<run_address>0x90</run_address>
<size>0x37</size>
<input_file_ref idref="fl-24"/>
</object_component>
<object_component id="oc-94">
<name>.debug_pubtypes</name>
<load_address>0xc7</load_address>
<run_address>0xc7</run_address>
<size>0xed</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-f0">
<name>.debug_pubtypes</name>
<load_address>0x1b4</load_address>
<run_address>0x1b4</run_address>
<size>0x3c</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-f8">
<name>.debug_pubtypes</name>
<load_address>0x1f0</load_address>
<run_address>0x1f0</run_address>
<size>0x1d</size>
<input_file_ref idref="fl-25"/>
</object_component>
<object_component id="oc-fd">
<name>.debug_pubtypes</name>
<load_address>0x20d</load_address>
<run_address>0x20d</run_address>
<size>0x1d</size>
<input_file_ref idref="fl-23"/>
</object_component>
<object_component id="oc-136">
<name>.debug_pubtypes</name>
<load_address>0x22a</load_address>
<run_address>0x22a</run_address>
<size>0x84</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-13b">
<name>.debug_pubtypes</name>
<load_address>0x2ae</load_address>
<run_address>0x2ae</run_address>
<size>0x31</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-156">
<name>.debug_pubtypes</name>
<load_address>0x2df</load_address>
<run_address>0x2df</run_address>
<size>0x1d</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-15b">
<name>.debug_pubtypes</name>
<load_address>0x2fc</load_address>
<run_address>0x2fc</run_address>
<size>0x1d</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-18b">
<name>.debug_pubtypes</name>
<load_address>0x319</load_address>
<run_address>0x319</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-43"/>
</object_component>
<object_component id="oc-20d">
<name>.debug_pubtypes</name>
<load_address>0x348</load_address>
<run_address>0x348</run_address>
<size>0x1e</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-212">
<name>.debug_pubtypes</name>
<load_address>0x366</load_address>
<run_address>0x366</run_address>
<size>0x1e</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-21c">
<name>.debug_pubtypes</name>
<load_address>0x384</load_address>
<run_address>0x384</run_address>
<size>0x33</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-238">
<name>.debug_pubtypes</name>
<load_address>0x3b7</load_address>
<run_address>0x3b7</run_address>
<size>0x2a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-249">
<name>.debug_pubtypes</name>
<load_address>0x3e1</load_address>
<run_address>0x3e1</run_address>
<size>0x2d</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-29f">
<name>.debug_pubtypes</name>
<load_address>0x40e</load_address>
<run_address>0x40e</run_address>
<size>0x27</size>
<input_file_ref idref="fl-41"/>
</object_component>
</object_component_list>
<logical_group_list>
<logical_group id="lg-4" display="no" color="cyan">
<name>.sysmem</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-5" display="no" color="cyan">
<name>.stack</name>
<run_address>0x3b0</run_address>
<size>0x50</size>
<contents>
<object_component_ref idref="oc-4e"/>
<object_component_ref idref="oc-352"/>
</contents>
</logical_group>
<logical_group id="lg-6" display="no" color="cyan">
<name>.text</name>
<load_address>0xc000</load_address>
<run_address>0xc000</run_address>
<size>0x23a4</size>
<contents>
<object_component_ref idref="oc-d4"/>
<object_component_ref idref="oc-ad"/>
<object_component_ref idref="oc-168"/>
<object_component_ref idref="oc-64"/>
<object_component_ref idref="oc-229"/>
<object_component_ref idref="oc-273"/>
<object_component_ref idref="oc-1fd"/>
<object_component_ref idref="oc-95"/>
<object_component_ref idref="oc-2a1"/>
<object_component_ref idref="oc-14b"/>
<object_component_ref idref="oc-21e"/>
<object_component_ref idref="oc-26e"/>
<object_component_ref idref="oc-278"/>
<object_component_ref idref="oc-121"/>
<object_component_ref idref="oc-163"/>
<object_component_ref idref="oc-2a6"/>
<object_component_ref idref="oc-1c7"/>
<object_component_ref idref="oc-25e"/>
<object_component_ref idref="oc-51"/>
<object_component_ref idref="oc-1c2"/>
<object_component_ref idref="oc-1b2"/>
<object_component_ref idref="oc-db"/>
<object_component_ref idref="oc-2b2"/>
<object_component_ref idref="oc-2be"/>
<object_component_ref idref="oc-239"/>
<object_component_ref idref="oc-267"/>
<object_component_ref idref="oc-1dc"/>
<object_component_ref idref="oc-82"/>
<object_component_ref idref="oc-5f"/>
<object_component_ref idref="oc-1b7"/>
<object_component_ref idref="oc-10a"/>
<object_component_ref idref="oc-225"/>
<object_component_ref idref="oc-2f5"/>
<object_component_ref idref="oc-10f"/>
<object_component_ref idref="oc-1bc"/>
<object_component_ref idref="oc-2e5"/>
<object_component_ref idref="oc-a7"/>
<object_component_ref idref="oc-2b9"/>
<object_component_ref idref="oc-e6"/>
<object_component_ref idref="oc-28d"/>
<object_component_ref idref="oc-c5"/>
<object_component_ref idref="oc-119"/>
<object_component_ref idref="oc-1a2"/>
<object_component_ref idref="oc-202"/>
<object_component_ref idref="oc-ca"/>
<object_component_ref idref="oc-16d"/>
<object_component_ref idref="oc-22e"/>
<object_component_ref idref="oc-2ef"/>
<object_component_ref idref="oc-253"/>
<object_component_ref idref="oc-25a"/>
<object_component_ref idref="oc-178"/>
<object_component_ref idref="oc-2c8"/>
<object_component_ref idref="oc-2c3"/>
<object_component_ref idref="oc-9a"/>
<object_component_ref idref="oc-141"/>
<object_component_ref idref="oc-17e"/>
<object_component_ref idref="oc-c0"/>
<object_component_ref idref="oc-18d"/>
<object_component_ref idref="oc-192"/>
<object_component_ref idref="oc-1cd"/>
<object_component_ref idref="oc-2e0"/>
<object_component_ref idref="oc-a1"/>
<object_component_ref idref="oc-2d2"/>
<object_component_ref idref="oc-1ad"/>
<object_component_ref idref="oc-12b"/>
<object_component_ref idref="oc-197"/>
<object_component_ref idref="oc-146"/>
<object_component_ref idref="oc-1d7"/>
<object_component_ref idref="oc-15c"/>
<object_component_ref idref="oc-e1"/>
<object_component_ref idref="oc-292"/>
<object_component_ref idref="oc-3a"/>
<object_component_ref idref="oc-308"/>
<object_component_ref idref="oc-cf"/>
<object_component_ref idref="oc-13c"/>
<object_component_ref idref="oc-44"/>
<object_component_ref idref="oc-287"/>
<object_component_ref idref="oc-30d"/>
<object_component_ref idref="oc-3f"/>
<object_component_ref idref="oc-2fd"/>
<object_component_ref idref="oc-6f"/>
<object_component_ref idref="oc-ba"/>
<object_component_ref idref="oc-1f8"/>
<object_component_ref idref="oc-19c"/>
<object_component_ref idref="oc-105"/>
<object_component_ref idref="oc-b2"/>
<object_component_ref idref="oc-114"/>
<object_component_ref idref="oc-56"/>
<object_component_ref idref="oc-49"/>
<object_component_ref idref="oc-5a"/>
<object_component_ref idref="oc-69"/>
<object_component_ref idref="oc-1ea"/>
</contents>
</logical_group>
<logical_group id="lg-7" display="no" color="cyan">
<name>.cinit</name>
<load_address>0xe442</load_address>
<run_address>0xe442</run_address>
<size>0x20</size>
<contents>
<object_component_ref idref="oc-351"/>
<object_component_ref idref="oc-34e"/>
<object_component_ref idref="oc-350"/>
<object_component_ref idref="oc-34f"/>
</contents>
</logical_group>
<logical_group id="lg-8" display="no" color="cyan">
<name>.const</name>
<load_address>0xe3a4</load_address>
<run_address>0xe3a4</run_address>
<size>0x9e</size>
<contents>
<object_component_ref idref="oc-11f"/>
<object_component_ref idref="oc-11d"/>
<object_component_ref idref="oc-a5"/>
<object_component_ref idref="oc-28c"/>
</contents>
</logical_group>
<logical_group id="lg-9" display="no" color="cyan">
<name>.cio</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-a" display="no" color="cyan">
<name>.pinit</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-b" display="no" color="cyan">
<name>.init_array</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-c" display="no" color="cyan">
<name>.mspabi.exidx</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-d" display="no" color="cyan">
<name>.mspabi.extab</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-e" display="no" color="cyan">
<name>.infoA</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-f" display="no" color="cyan">
<name>.infoB</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-10" display="no" color="cyan">
<name>.infoC</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-11" display="no" color="cyan">
<name>.infoD</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-12" display="no" color="cyan">
<name>.int00</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-13" display="no" color="cyan">
<name>.int01</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-14" display="no" color="cyan">
<name>PORT1</name>
<load_address>0xffe4</load_address>
<run_address>0xffe4</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-2e"/>
</contents>
</logical_group>
<logical_group id="lg-16" display="no" color="cyan">
<name>PORT2</name>
<load_address>0xffe6</load_address>
<run_address>0xffe6</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-2f"/>
</contents>
</logical_group>
<logical_group id="lg-18" display="no" color="cyan">
<name>.int04</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-19" display="no" color="cyan">
<name>ADC10</name>
<load_address>0xffea</load_address>
<run_address>0xffea</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-30"/>
</contents>
</logical_group>
<logical_group id="lg-1b" display="no" color="cyan">
<name>USCIAB0TX</name>
<load_address>0xffec</load_address>
<run_address>0xffec</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-31"/>
</contents>
</logical_group>
<logical_group id="lg-1d" display="no" color="cyan">
<name>USCIAB0RX</name>
<load_address>0xffee</load_address>
<run_address>0xffee</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-32"/>
</contents>
</logical_group>
<logical_group id="lg-1f" display="no" color="cyan">
<name>TIMER0_A1</name>
<load_address>0xfff0</load_address>
<run_address>0xfff0</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-33"/>
</contents>
</logical_group>
<logical_group id="lg-21" display="no" color="cyan">
<name>TIMER0_A0</name>
<load_address>0xfff2</load_address>
<run_address>0xfff2</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-34"/>
</contents>
</logical_group>
<logical_group id="lg-23" display="no" color="cyan">
<name>WDT</name>
<load_address>0xfff4</load_address>
<run_address>0xfff4</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-35"/>
</contents>
</logical_group>
<logical_group id="lg-25" display="no" color="cyan">
<name>COMPARATORA</name>
<load_address>0xfff6</load_address>
<run_address>0xfff6</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-36"/>
</contents>
</logical_group>
<logical_group id="lg-27" display="no" color="cyan">
<name>TIMER1_A1</name>
<load_address>0xfff8</load_address>
<run_address>0xfff8</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-37"/>
</contents>
</logical_group>
<logical_group id="lg-29" display="no" color="cyan">
<name>TIMER1_A0</name>
<load_address>0xfffa</load_address>
<run_address>0xfffa</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-38"/>
</contents>
</logical_group>
<logical_group id="lg-2b" display="no" color="cyan">
<name>NMI</name>
<load_address>0xfffc</load_address>
<run_address>0xfffc</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-39"/>
</contents>
</logical_group>
<logical_group id="lg-2d" display="no" color="cyan">
<name>.reset</name>
<load_address>0xfffe</load_address>
<run_address>0xfffe</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-50"/>
</contents>
</logical_group>
<logical_group id="lg-31a" display="no" color="cyan">
<name>.TI.noinit</name>
<run_address>0x200</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-2" display="no" color="cyan">
<name>.bss</name>
<run_address>0x200</run_address>
<size>0x18</size>
<contents>
<object_component_ref idref="oc-177"/>
<object_component_ref idref="oc-2d8"/>
<object_component_ref idref="oc-e0"/>
<object_component_ref idref="oc-d9"/>
<object_component_ref idref="oc-ac"/>
</contents>
</logical_group>
<logical_group id="lg-319" display="no" color="cyan">
<name>BSS_GROUP</name>
<run_address>0x200</run_address>
<size>0x18</size>
<contents>
<logical_group_ref idref="lg-31a"/>
<logical_group_ref idref="lg-2"/>
</contents>
</logical_group>
<logical_group id="lg-31c" display="no" color="cyan">
<name>.TI.persistent</name>
<run_address>0x218</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-3" display="no" color="cyan">
<name>.data</name>
<run_address>0x218</run_address>
<size>0xa</size>
<contents>
<object_component_ref idref="oc-127"/>
<object_component_ref idref="oc-129"/>
<object_component_ref idref="oc-173"/>
</contents>
</logical_group>
<logical_group id="lg-31b" display="no" color="cyan">
<name>DATA_GROUP</name>
<load_address>0x218</load_address>
<run_address>0x218</run_address>
<size>0xa</size>
<contents>
<logical_group_ref idref="lg-31c"/>
<logical_group_ref idref="lg-3"/>
</contents>
</logical_group>
<logical_group id="lg-340" display="never" color="cyan">
<name>.debug_line</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x2b2a</size>
<contents>
<object_component_ref idref="oc-3b"/>
<object_component_ref idref="oc-43"/>
<object_component_ref idref="oc-46"/>
<object_component_ref idref="oc-4d"/>
<object_component_ref idref="oc-53"/>
<object_component_ref idref="oc-57"/>
<object_component_ref idref="oc-5b"/>
<object_component_ref idref="oc-61"/>
<object_component_ref idref="oc-67"/>
<object_component_ref idref="oc-6d"/>
<object_component_ref idref="oc-72"/>
<object_component_ref idref="oc-77"/>
<object_component_ref idref="oc-7e"/>
<object_component_ref idref="oc-86"/>
<object_component_ref idref="oc-8b"/>
<object_component_ref idref="oc-8f"/>
<object_component_ref idref="oc-92"/>
<object_component_ref idref="oc-96"/>
<object_component_ref idref="oc-9e"/>
<object_component_ref idref="oc-a3"/>
<object_component_ref idref="oc-ab"/>
<object_component_ref idref="oc-ae"/>
<object_component_ref idref="oc-b4"/>
<object_component_ref idref="oc-bd"/>
<object_component_ref idref="oc-c1"/>
<object_component_ref idref="oc-c7"/>
<object_component_ref idref="oc-cc"/>
<object_component_ref idref="oc-d0"/>
<object_component_ref idref="oc-d7"/>
<object_component_ref idref="oc-de"/>
<object_component_ref idref="oc-e2"/>
<object_component_ref idref="oc-e7"/>
<object_component_ref idref="oc-ee"/>
<object_component_ref idref="oc-f6"/>
<object_component_ref idref="oc-fb"/>
<object_component_ref idref="oc-ff"/>
<object_component_ref idref="oc-101"/>
<object_component_ref idref="oc-104"/>
<object_component_ref idref="oc-107"/>
<object_component_ref idref="oc-10c"/>
<object_component_ref idref="oc-111"/>
<object_component_ref idref="oc-115"/>
<object_component_ref idref="oc-11c"/>
<object_component_ref idref="oc-123"/>
<object_component_ref idref="oc-12d"/>
<object_component_ref idref="oc-131"/>
<object_component_ref idref="oc-134"/>
<object_component_ref idref="oc-139"/>
<object_component_ref idref="oc-13d"/>
<object_component_ref idref="oc-143"/>
<object_component_ref idref="oc-14a"/>
<object_component_ref idref="oc-14e"/>
<object_component_ref idref="oc-154"/>
<object_component_ref idref="oc-159"/>
<object_component_ref idref="oc-15e"/>
<object_component_ref idref="oc-166"/>
<object_component_ref idref="oc-16b"/>
<object_component_ref idref="oc-16f"/>
<object_component_ref idref="oc-179"/>
<object_component_ref idref="oc-17f"/>
<object_component_ref idref="oc-186"/>
<object_component_ref idref="oc-189"/>
<object_component_ref idref="oc-18f"/>
<object_component_ref idref="oc-193"/>
<object_component_ref idref="oc-199"/>
<object_component_ref idref="oc-19e"/>
<object_component_ref idref="oc-1a3"/>
<object_component_ref idref="oc-1ae"/>
<object_component_ref idref="oc-1b5"/>
<object_component_ref idref="oc-1b9"/>
<object_component_ref idref="oc-1bd"/>
<object_component_ref idref="oc-1c5"/>
<object_component_ref idref="oc-1c9"/>
<object_component_ref idref="oc-1ce"/>
<object_component_ref idref="oc-1d5"/>
<object_component_ref idref="oc-1da"/>
<object_component_ref idref="oc-1dd"/>
<object_component_ref idref="oc-1e6"/>
<object_component_ref idref="oc-1e8"/>
<object_component_ref idref="oc-1ed"/>
<object_component_ref idref="oc-1f0"/>
<object_component_ref idref="oc-1f3"/>
<object_component_ref idref="oc-1f9"/>
<object_component_ref idref="oc-1ff"/>
<object_component_ref idref="oc-204"/>
<object_component_ref idref="oc-20b"/>
<object_component_ref idref="oc-210"/>
<object_component_ref idref="oc-214"/>
<object_component_ref idref="oc-217"/>
<object_component_ref idref="oc-21a"/>
<object_component_ref idref="oc-221"/>
<object_component_ref idref="oc-226"/>
<object_component_ref idref="oc-22c"/>
<object_component_ref idref="oc-231"/>
<object_component_ref idref="oc-236"/>
<object_component_ref idref="oc-23a"/>
<object_component_ref idref="oc-240"/>
<object_component_ref idref="oc-247"/>
<object_component_ref idref="oc-24e"/>
<object_component_ref idref="oc-250"/>
<object_component_ref idref="oc-252"/>
<object_component_ref idref="oc-256"/>
<object_component_ref idref="oc-25d"/>
<object_component_ref idref="oc-25f"/>
<object_component_ref idref="oc-26a"/>
<object_component_ref idref="oc-271"/>
<object_component_ref idref="oc-276"/>
<object_component_ref idref="oc-27b"/>
<object_component_ref idref="oc-284"/>
<object_component_ref idref="oc-28a"/>
<object_component_ref idref="oc-28f"/>
<object_component_ref idref="oc-295"/>
<object_component_ref idref="oc-29a"/>
<object_component_ref idref="oc-29d"/>
<object_component_ref idref="oc-2a4"/>
<object_component_ref idref="oc-2a9"/>
<object_component_ref idref="oc-2b4"/>
<object_component_ref idref="oc-2ba"/>
<object_component_ref idref="oc-2bf"/>
<object_component_ref idref="oc-2c6"/>
<object_component_ref idref="oc-2ca"/>
<object_component_ref idref="oc-2cf"/>
<object_component_ref idref="oc-2d4"/>
<object_component_ref idref="oc-2db"/>
<object_component_ref idref="oc-2dd"/>
<object_component_ref idref="oc-2e1"/>
<object_component_ref idref="oc-2e7"/>
<object_component_ref idref="oc-2ee"/>
<object_component_ref idref="oc-2f2"/>
<object_component_ref idref="oc-2f8"/>
<object_component_ref idref="oc-300"/>
<object_component_ref idref="oc-306"/>
<object_component_ref idref="oc-30a"/>
<object_component_ref idref="oc-310"/>
<object_component_ref idref="oc-313"/>
</contents>
</logical_group>
<logical_group id="lg-342" display="never" color="cyan">
<name>.debug_info</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0xb7dd</size>
<contents>
<object_component_ref idref="oc-3c"/>
<object_component_ref idref="oc-42"/>
<object_component_ref idref="oc-45"/>
<object_component_ref idref="oc-4c"/>
<object_component_ref idref="oc-4f"/>
<object_component_ref idref="oc-52"/>
<object_component_ref idref="oc-58"/>
<object_component_ref idref="oc-5d"/>
<object_component_ref idref="oc-63"/>
<object_component_ref idref="oc-65"/>
<object_component_ref idref="oc-6b"/>
<object_component_ref idref="oc-73"/>
<object_component_ref idref="oc-75"/>
<object_component_ref idref="oc-7a"/>
<object_component_ref idref="oc-7c"/>
<object_component_ref idref="oc-81"/>
<object_component_ref idref="oc-85"/>
<object_component_ref idref="oc-88"/>
<object_component_ref idref="oc-89"/>
<object_component_ref idref="oc-90"/>
<object_component_ref idref="oc-97"/>
<object_component_ref idref="oc-9c"/>
<object_component_ref idref="oc-a0"/>
<object_component_ref idref="oc-a4"/>
<object_component_ref idref="oc-a8"/>
<object_component_ref idref="oc-af"/>
<object_component_ref idref="oc-b3"/>
<object_component_ref idref="oc-bb"/>
<object_component_ref idref="oc-bf"/>
<object_component_ref idref="oc-c2"/>
<object_component_ref idref="oc-c6"/>
<object_component_ref idref="oc-cb"/>
<object_component_ref idref="oc-d2"/>
<object_component_ref idref="oc-d5"/>
<object_component_ref idref="oc-dc"/>
<object_component_ref idref="oc-e3"/>
<object_component_ref idref="oc-e8"/>
<object_component_ref idref="oc-ec"/>
<object_component_ref idref="oc-f3"/>
<object_component_ref idref="oc-f4"/>
<object_component_ref idref="oc-f9"/>
<object_component_ref idref="oc-106"/>
<object_component_ref idref="oc-10b"/>
<object_component_ref idref="oc-110"/>
<object_component_ref idref="oc-117"/>
<object_component_ref idref="oc-11b"/>
<object_component_ref idref="oc-11e"/>
<object_component_ref idref="oc-120"/>
<object_component_ref idref="oc-124"/>
<object_component_ref idref="oc-128"/>
<object_component_ref idref="oc-12a"/>
<object_component_ref idref="oc-12c"/>
<object_component_ref idref="oc-132"/>
<object_component_ref idref="oc-137"/>
<object_component_ref idref="oc-13f"/>
<object_component_ref idref="oc-142"/>
<object_component_ref idref="oc-147"/>
<object_component_ref idref="oc-14c"/>
<object_component_ref idref="oc-151"/>
<object_component_ref idref="oc-152"/>
<object_component_ref idref="oc-157"/>
<object_component_ref idref="oc-15d"/>
<object_component_ref idref="oc-162"/>
<object_component_ref idref="oc-164"/>
<object_component_ref idref="oc-169"/>
<object_component_ref idref="oc-16e"/>
<object_component_ref idref="oc-174"/>
<object_component_ref idref="oc-17a"/>
<object_component_ref idref="oc-180"/>
<object_component_ref idref="oc-187"/>
<object_component_ref idref="oc-18e"/>
<object_component_ref idref="oc-194"/>
<object_component_ref idref="oc-198"/>
<object_component_ref idref="oc-19d"/>
<object_component_ref idref="oc-1a4"/>
<object_component_ref idref="oc-1a8"/>
<object_component_ref idref="oc-1aa"/>
<object_component_ref idref="oc-1ac"/>
<object_component_ref idref="oc-1b0"/>
<object_component_ref idref="oc-1b3"/>
<object_component_ref idref="oc-1b8"/>
<object_component_ref idref="oc-1be"/>
<object_component_ref idref="oc-1c3"/>
<object_component_ref idref="oc-1c8"/>
<object_component_ref idref="oc-1cf"/>
<object_component_ref idref="oc-1d8"/>
<object_component_ref idref="oc-1df"/>
<object_component_ref idref="oc-1ec"/>
<object_component_ref idref="oc-1f1"/>
<object_component_ref idref="oc-1fb"/>
<object_component_ref idref="oc-1fe"/>
<object_component_ref idref="oc-203"/>
<object_component_ref idref="oc-208"/>
<object_component_ref idref="oc-209"/>
<object_component_ref idref="oc-20e"/>
<object_component_ref idref="oc-218"/>
<object_component_ref idref="oc-21f"/>
<object_component_ref idref="oc-228"/>
<object_component_ref idref="oc-22a"/>
<object_component_ref idref="oc-22f"/>
<object_component_ref idref="oc-234"/>
<object_component_ref idref="oc-23b"/>
<object_component_ref idref="oc-245"/>
<object_component_ref idref="oc-255"/>
<object_component_ref idref="oc-25b"/>
<object_component_ref idref="oc-261"/>
<object_component_ref idref="oc-264"/>
<object_component_ref idref="oc-268"/>
<object_component_ref idref="oc-26f"/>
<object_component_ref idref="oc-274"/>
<object_component_ref idref="oc-279"/>
<object_component_ref idref="oc-280"/>
<object_component_ref idref="oc-286"/>
<object_component_ref idref="oc-289"/>
<object_component_ref idref="oc-28e"/>
<object_component_ref idref="oc-294"/>
<object_component_ref idref="oc-29b"/>
<object_component_ref idref="oc-2a0"/>
<object_component_ref idref="oc-2a2"/>
<object_component_ref idref="oc-2a7"/>
<object_component_ref idref="oc-2b5"/>
<object_component_ref idref="oc-2bc"/>
<object_component_ref idref="oc-2c1"/>
<object_component_ref idref="oc-2c5"/>
<object_component_ref idref="oc-2cb"/>
<object_component_ref idref="oc-2d5"/>
<object_component_ref idref="oc-2df"/>
<object_component_ref idref="oc-2e2"/>
<object_component_ref idref="oc-2e6"/>
<object_component_ref idref="oc-2ec"/>
<object_component_ref idref="oc-2f0"/>
<object_component_ref idref="oc-2f6"/>
<object_component_ref idref="oc-2ff"/>
<object_component_ref idref="oc-309"/>
<object_component_ref idref="oc-30f"/>
<object_component_ref idref="oc-353"/>
</contents>
</logical_group>
<logical_group id="lg-344" display="never" color="cyan">
<name>.debug_frame</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x183c</size>
<contents>
<object_component_ref idref="oc-3d"/>
<object_component_ref idref="oc-41"/>
<object_component_ref idref="oc-47"/>
<object_component_ref idref="oc-4a"/>
<object_component_ref idref="oc-54"/>
<object_component_ref idref="oc-5c"/>
<object_component_ref idref="oc-60"/>
<object_component_ref idref="oc-66"/>
<object_component_ref idref="oc-6c"/>
<object_component_ref idref="oc-71"/>
<object_component_ref idref="oc-83"/>
<object_component_ref idref="oc-98"/>
<object_component_ref idref="oc-9d"/>
<object_component_ref idref="oc-a9"/>
<object_component_ref idref="oc-b1"/>
<object_component_ref idref="oc-b5"/>
<object_component_ref idref="oc-c3"/>
<object_component_ref idref="oc-c8"/>
<object_component_ref idref="oc-cd"/>
<object_component_ref idref="oc-d1"/>
<object_component_ref idref="oc-d6"/>
<object_component_ref idref="oc-dd"/>
<object_component_ref idref="oc-e5"/>
<object_component_ref idref="oc-e9"/>
<object_component_ref idref="oc-108"/>
<object_component_ref idref="oc-10d"/>
<object_component_ref idref="oc-112"/>
<object_component_ref idref="oc-116"/>
<object_component_ref idref="oc-122"/>
<object_component_ref idref="oc-144"/>
<object_component_ref idref="oc-148"/>
<object_component_ref idref="oc-14d"/>
<object_component_ref idref="oc-15f"/>
<object_component_ref idref="oc-165"/>
<object_component_ref idref="oc-16a"/>
<object_component_ref idref="oc-170"/>
<object_component_ref idref="oc-17c"/>
<object_component_ref idref="oc-182"/>
<object_component_ref idref="oc-191"/>
<object_component_ref idref="oc-195"/>
<object_component_ref idref="oc-19a"/>
<object_component_ref idref="oc-19f"/>
<object_component_ref idref="oc-1a5"/>
<object_component_ref idref="oc-1b1"/>
<object_component_ref idref="oc-1b4"/>
<object_component_ref idref="oc-1bb"/>
<object_component_ref idref="oc-1c4"/>
<object_component_ref idref="oc-1ca"/>
<object_component_ref idref="oc-1d0"/>
<object_component_ref idref="oc-1d9"/>
<object_component_ref idref="oc-1de"/>
<object_component_ref idref="oc-1eb"/>
<object_component_ref idref="oc-1fc"/>
<object_component_ref idref="oc-200"/>
<object_component_ref idref="oc-205"/>
<object_component_ref idref="oc-220"/>
<object_component_ref idref="oc-22b"/>
<object_component_ref idref="oc-230"/>
<object_component_ref idref="oc-23c"/>
<object_component_ref idref="oc-254"/>
<object_component_ref idref="oc-260"/>
<object_component_ref idref="oc-269"/>
<object_component_ref idref="oc-270"/>
<object_component_ref idref="oc-275"/>
<object_component_ref idref="oc-27a"/>
<object_component_ref idref="oc-28b"/>
<object_component_ref idref="oc-290"/>
<object_component_ref idref="oc-296"/>
<object_component_ref idref="oc-2a3"/>
<object_component_ref idref="oc-2a8"/>
<object_component_ref idref="oc-2b3"/>
<object_component_ref idref="oc-2bb"/>
<object_component_ref idref="oc-2c0"/>
<object_component_ref idref="oc-2c4"/>
<object_component_ref idref="oc-2c9"/>
<object_component_ref idref="oc-2e3"/>
<object_component_ref idref="oc-2e8"/>
<object_component_ref idref="oc-2f1"/>
<object_component_ref idref="oc-2f7"/>
<object_component_ref idref="oc-30b"/>
</contents>
</logical_group>
<logical_group id="lg-346" display="never" color="cyan">
<name>.debug_aranges</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0xb80</size>
<contents>
<object_component_ref idref="oc-3e"/>
<object_component_ref idref="oc-40"/>
<object_component_ref idref="oc-48"/>
<object_component_ref idref="oc-4b"/>
<object_component_ref idref="oc-55"/>
<object_component_ref idref="oc-59"/>
<object_component_ref idref="oc-5e"/>
<object_component_ref idref="oc-62"/>
<object_component_ref idref="oc-68"/>
<object_component_ref idref="oc-6a"/>
<object_component_ref idref="oc-70"/>
<object_component_ref idref="oc-84"/>
<object_component_ref idref="oc-99"/>
<object_component_ref idref="oc-9b"/>
<object_component_ref idref="oc-a2"/>
<object_component_ref idref="oc-aa"/>
<object_component_ref idref="oc-b0"/>
<object_component_ref idref="oc-b6"/>
<object_component_ref idref="oc-bc"/>
<object_component_ref idref="oc-c4"/>
<object_component_ref idref="oc-c9"/>
<object_component_ref idref="oc-ce"/>
<object_component_ref idref="oc-d3"/>
<object_component_ref idref="oc-d8"/>
<object_component_ref idref="oc-df"/>
<object_component_ref idref="oc-e4"/>
<object_component_ref idref="oc-ea"/>
<object_component_ref idref="oc-109"/>
<object_component_ref idref="oc-10e"/>
<object_component_ref idref="oc-113"/>
<object_component_ref idref="oc-118"/>
<object_component_ref idref="oc-11a"/>
<object_component_ref idref="oc-125"/>
<object_component_ref idref="oc-12e"/>
<object_component_ref idref="oc-13e"/>
<object_component_ref idref="oc-145"/>
<object_component_ref idref="oc-149"/>
<object_component_ref idref="oc-14f"/>
<object_component_ref idref="oc-160"/>
<object_component_ref idref="oc-167"/>
<object_component_ref idref="oc-16c"/>
<object_component_ref idref="oc-171"/>
<object_component_ref idref="oc-17b"/>
<object_component_ref idref="oc-181"/>
<object_component_ref idref="oc-190"/>
<object_component_ref idref="oc-196"/>
<object_component_ref idref="oc-19b"/>
<object_component_ref idref="oc-1a0"/>
<object_component_ref idref="oc-1a6"/>
<object_component_ref idref="oc-1af"/>
<object_component_ref idref="oc-1b6"/>
<object_component_ref idref="oc-1ba"/>
<object_component_ref idref="oc-1bf"/>
<object_component_ref idref="oc-1c6"/>
<object_component_ref idref="oc-1cb"/>
<object_component_ref idref="oc-1d1"/>
<object_component_ref idref="oc-1db"/>
<object_component_ref idref="oc-1e0"/>
<object_component_ref idref="oc-1ee"/>
<object_component_ref idref="oc-1fa"/>
<object_component_ref idref="oc-201"/>
<object_component_ref idref="oc-206"/>
<object_component_ref idref="oc-222"/>
<object_component_ref idref="oc-227"/>
<object_component_ref idref="oc-22d"/>
<object_component_ref idref="oc-232"/>
<object_component_ref idref="oc-23d"/>
<object_component_ref idref="oc-257"/>
<object_component_ref idref="oc-25c"/>
<object_component_ref idref="oc-262"/>
<object_component_ref idref="oc-26b"/>
<object_component_ref idref="oc-272"/>
<object_component_ref idref="oc-277"/>
<object_component_ref idref="oc-27c"/>
<object_component_ref idref="oc-288"/>
<object_component_ref idref="oc-291"/>
<object_component_ref idref="oc-293"/>
<object_component_ref idref="oc-2a5"/>
<object_component_ref idref="oc-2aa"/>
<object_component_ref idref="oc-2b6"/>
<object_component_ref idref="oc-2bd"/>
<object_component_ref idref="oc-2c2"/>
<object_component_ref idref="oc-2c7"/>
<object_component_ref idref="oc-2cc"/>
<object_component_ref idref="oc-2d3"/>
<object_component_ref idref="oc-2e4"/>
<object_component_ref idref="oc-2e9"/>
<object_component_ref idref="oc-2f3"/>
<object_component_ref idref="oc-2f9"/>
<object_component_ref idref="oc-2fe"/>
<object_component_ref idref="oc-30c"/>
<object_component_ref idref="oc-30e"/>
</contents>
</logical_group>
<logical_group id="lg-348" display="never" color="cyan">
<name>.debug_abbrev</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x3348</size>
<contents>
<object_component_ref idref="oc-6e"/>
<object_component_ref idref="oc-74"/>
<object_component_ref idref="oc-76"/>
<object_component_ref idref="oc-7b"/>
<object_component_ref idref="oc-7d"/>
<object_component_ref idref="oc-87"/>
<object_component_ref idref="oc-8a"/>
<object_component_ref idref="oc-8e"/>
<object_component_ref idref="oc-91"/>
<object_component_ref idref="oc-b7"/>
<object_component_ref idref="oc-b8"/>
<object_component_ref idref="oc-b9"/>
<object_component_ref idref="oc-be"/>
<object_component_ref idref="oc-eb"/>
<object_component_ref idref="oc-ed"/>
<object_component_ref idref="oc-f1"/>
<object_component_ref idref="oc-f2"/>
<object_component_ref idref="oc-f5"/>
<object_component_ref idref="oc-fa"/>
<object_component_ref idref="oc-fe"/>
<object_component_ref idref="oc-100"/>
<object_component_ref idref="oc-102"/>
<object_component_ref idref="oc-103"/>
<object_component_ref idref="oc-126"/>
<object_component_ref idref="oc-12f"/>
<object_component_ref idref="oc-130"/>
<object_component_ref idref="oc-133"/>
<object_component_ref idref="oc-138"/>
<object_component_ref idref="oc-140"/>
<object_component_ref idref="oc-150"/>
<object_component_ref idref="oc-153"/>
<object_component_ref idref="oc-158"/>
<object_component_ref idref="oc-17d"/>
<object_component_ref idref="oc-183"/>
<object_component_ref idref="oc-184"/>
<object_component_ref idref="oc-185"/>
<object_component_ref idref="oc-188"/>
<object_component_ref idref="oc-18c"/>
<object_component_ref idref="oc-1a1"/>
<object_component_ref idref="oc-1a7"/>
<object_component_ref idref="oc-1a9"/>
<object_component_ref idref="oc-1c1"/>
<object_component_ref idref="oc-1cc"/>
<object_component_ref idref="oc-1d2"/>
<object_component_ref idref="oc-1d3"/>
<object_component_ref idref="oc-1d4"/>
<object_component_ref idref="oc-1d6"/>
<object_component_ref idref="oc-1e1"/>
<object_component_ref idref="oc-1e2"/>
<object_component_ref idref="oc-1e3"/>
<object_component_ref idref="oc-1e4"/>
<object_component_ref idref="oc-1e5"/>
<object_component_ref idref="oc-1e7"/>
<object_component_ref idref="oc-1e9"/>
<object_component_ref idref="oc-1ef"/>
<object_component_ref idref="oc-1f2"/>
<object_component_ref idref="oc-1f4"/>
<object_component_ref idref="oc-1f5"/>
<object_component_ref idref="oc-1f6"/>
<object_component_ref idref="oc-1f7"/>
<object_component_ref idref="oc-207"/>
<object_component_ref idref="oc-20a"/>
<object_component_ref idref="oc-20f"/>
<object_component_ref idref="oc-213"/>
<object_component_ref idref="oc-215"/>
<object_component_ref idref="oc-216"/>
<object_component_ref idref="oc-219"/>
<object_component_ref idref="oc-223"/>
<object_component_ref idref="oc-233"/>
<object_component_ref idref="oc-235"/>
<object_component_ref idref="oc-23e"/>
<object_component_ref idref="oc-23f"/>
<object_component_ref idref="oc-241"/>
<object_component_ref idref="oc-242"/>
<object_component_ref idref="oc-243"/>
<object_component_ref idref="oc-244"/>
<object_component_ref idref="oc-246"/>
<object_component_ref idref="oc-24a"/>
<object_component_ref idref="oc-24b"/>
<object_component_ref idref="oc-24c"/>
<object_component_ref idref="oc-24d"/>
<object_component_ref idref="oc-24f"/>
<object_component_ref idref="oc-251"/>
<object_component_ref idref="oc-258"/>
<object_component_ref idref="oc-259"/>
<object_component_ref idref="oc-263"/>
<object_component_ref idref="oc-265"/>
<object_component_ref idref="oc-26c"/>
<object_component_ref idref="oc-27d"/>
<object_component_ref idref="oc-27e"/>
<object_component_ref idref="oc-27f"/>
<object_component_ref idref="oc-281"/>
<object_component_ref idref="oc-282"/>
<object_component_ref idref="oc-283"/>
<object_component_ref idref="oc-285"/>
<object_component_ref idref="oc-297"/>
<object_component_ref idref="oc-298"/>
<object_component_ref idref="oc-299"/>
<object_component_ref idref="oc-29c"/>
<object_component_ref idref="oc-2ab"/>
<object_component_ref idref="oc-2ac"/>
<object_component_ref idref="oc-2ae"/>
<object_component_ref idref="oc-2b0"/>
<object_component_ref idref="oc-2b1"/>
<object_component_ref idref="oc-2b7"/>
<object_component_ref idref="oc-2b8"/>
<object_component_ref idref="oc-2cd"/>
<object_component_ref idref="oc-2ce"/>
<object_component_ref idref="oc-2d1"/>
<object_component_ref idref="oc-2d6"/>
<object_component_ref idref="oc-2d7"/>
<object_component_ref idref="oc-2d9"/>
<object_component_ref idref="oc-2da"/>
<object_component_ref idref="oc-2dc"/>
<object_component_ref idref="oc-2de"/>
<object_component_ref idref="oc-2ea"/>
<object_component_ref idref="oc-2eb"/>
<object_component_ref idref="oc-2ed"/>
<object_component_ref idref="oc-2f4"/>
<object_component_ref idref="oc-2fa"/>
<object_component_ref idref="oc-2fb"/>
<object_component_ref idref="oc-2fc"/>
<object_component_ref idref="oc-301"/>
<object_component_ref idref="oc-302"/>
<object_component_ref idref="oc-303"/>
<object_component_ref idref="oc-304"/>
<object_component_ref idref="oc-305"/>
<object_component_ref idref="oc-307"/>
<object_component_ref idref="oc-311"/>
<object_component_ref idref="oc-312"/>
<object_component_ref idref="oc-314"/>
<object_component_ref idref="oc-315"/>
<object_component_ref idref="oc-316"/>
<object_component_ref idref="oc-317"/>
<object_component_ref idref="oc-318"/>
<object_component_ref idref="oc-354"/>
</contents>
</logical_group>
<logical_group id="lg-34a" display="never" color="cyan">
<name>.debug_str</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0xf68</size>
<contents>
<object_component_ref idref="oc-78"/>
<object_component_ref idref="oc-7f"/>
<object_component_ref idref="oc-8c"/>
<object_component_ref idref="oc-93"/>
<object_component_ref idref="oc-ef"/>
<object_component_ref idref="oc-f7"/>
<object_component_ref idref="oc-fc"/>
<object_component_ref idref="oc-135"/>
<object_component_ref idref="oc-13a"/>
<object_component_ref idref="oc-155"/>
<object_component_ref idref="oc-15a"/>
<object_component_ref idref="oc-18a"/>
<object_component_ref idref="oc-20c"/>
<object_component_ref idref="oc-211"/>
<object_component_ref idref="oc-21b"/>
<object_component_ref idref="oc-237"/>
<object_component_ref idref="oc-248"/>
<object_component_ref idref="oc-29e"/>
</contents>
</logical_group>
<logical_group id="lg-34c" display="never" color="cyan">
<name>.debug_pubtypes</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x435</size>
<contents>
<object_component_ref idref="oc-79"/>
<object_component_ref idref="oc-80"/>
<object_component_ref idref="oc-8d"/>
<object_component_ref idref="oc-94"/>
<object_component_ref idref="oc-f0"/>
<object_component_ref idref="oc-f8"/>
<object_component_ref idref="oc-fd"/>
<object_component_ref idref="oc-136"/>
<object_component_ref idref="oc-13b"/>
<object_component_ref idref="oc-156"/>
<object_component_ref idref="oc-15b"/>
<object_component_ref idref="oc-18b"/>
<object_component_ref idref="oc-20d"/>
<object_component_ref idref="oc-212"/>
<object_component_ref idref="oc-21c"/>
<object_component_ref idref="oc-238"/>
<object_component_ref idref="oc-249"/>
<object_component_ref idref="oc-29f"/>
</contents>
</logical_group>
<load_segment id="lg-355" display="no" color="cyan">
<name>SEGMENT_0</name>
<run_address>0x200</run_address>
<size>0x22</size>
<flags>0x6</flags>
<contents>
<logical_group_ref idref="lg-2"/>
<logical_group_ref idref="lg-3"/>
</contents>
</load_segment>
<load_segment id="lg-356" display="no" color="cyan">
<name>SEGMENT_1</name>
<run_address>0x3b0</run_address>
<size>0x50</size>
<flags>0x6</flags>
<contents>
<logical_group_ref idref="lg-5"/>
</contents>
</load_segment>
<load_segment id="lg-357" display="no" color="cyan">
<name>SEGMENT_2</name>
<load_address>0xc000</load_address>
<run_address>0xc000</run_address>
<size>0x2462</size>
<flags>0x5</flags>
<contents>
<logical_group_ref idref="lg-6"/>
<logical_group_ref idref="lg-8"/>
<logical_group_ref idref="lg-7"/>
</contents>
</load_segment>
<load_segment id="lg-358" display="no" color="cyan">
<name>SEGMENT_3</name>
<load_address>0xffe4</load_address>
<run_address>0xffe4</run_address>
<size>0x4</size>
<flags>0x4</flags>
<contents>
<logical_group_ref idref="lg-14"/>
<logical_group_ref idref="lg-16"/>
</contents>
</load_segment>
<load_segment id="lg-359" display="no" color="cyan">
<name>SEGMENT_4</name>
<load_address>0xffea</load_address>
<run_address>0xffea</run_address>
<size>0x16</size>
<flags>0x4</flags>
<contents>
<logical_group_ref idref="lg-19"/>
<logical_group_ref idref="lg-1b"/>
<logical_group_ref idref="lg-1d"/>
<logical_group_ref idref="lg-1f"/>
<logical_group_ref idref="lg-21"/>
<logical_group_ref idref="lg-23"/>
<logical_group_ref idref="lg-25"/>
<logical_group_ref idref="lg-27"/>
<logical_group_ref idref="lg-29"/>
<logical_group_ref idref="lg-2b"/>
<logical_group_ref idref="lg-2d"/>
</contents>
</load_segment>
</logical_group_list>
<placement_map>
<memory_area display="no" color="green">
<name>SFR</name>
<page_id>0x0</page_id>
<origin>0x0</origin>
<length>0x10</length>
<used_space>0x0</used_space>
<unused_space>0x10</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>PERIPHERALS_8BIT</name>
<page_id>0x0</page_id>
<origin>0x10</origin>
<length>0xf0</length>
<used_space>0x0</used_space>
<unused_space>0xf0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>PERIPHERALS_16BIT</name>
<page_id>0x0</page_id>
<origin>0x100</origin>
<length>0x100</length>
<used_space>0x0</used_space>
<unused_space>0x100</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>RAM</name>
<page_id>0x0</page_id>
<origin>0x200</origin>
<length>0x200</length>
<used_space>0x72</used_space>
<unused_space>0x18e</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0x200</start_address>
<size>0x18</size>
<logical_group_ref idref="lg-319"/>
</allocated_space>
<allocated_space>
<start_address>0x218</start_address>
<size>0xa</size>
<logical_group_ref idref="lg-31b"/>
</allocated_space>
<available_space>
<start_address>0x222</start_address>
<size>0x18e</size>
</available_space>
<allocated_space>
<start_address>0x3b0</start_address>
<size>0x50</size>
<logical_group_ref idref="lg-5"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOD</name>
<page_id>0x0</page_id>
<origin>0x1000</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOC</name>
<page_id>0x0</page_id>
<origin>0x1040</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOB</name>
<page_id>0x0</page_id>
<origin>0x1080</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOA</name>
<page_id>0x0</page_id>
<origin>0x10c0</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>FLASH</name>
<page_id>0x0</page_id>
<origin>0xc000</origin>
<length>0x3fe0</length>
<used_space>0x2462</used_space>
<unused_space>0x1b7e</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xc000</start_address>
<size>0x23a4</size>
<logical_group_ref idref="lg-6"/>
</allocated_space>
<allocated_space>
<start_address>0xe3a4</start_address>
<size>0x9e</size>
<logical_group_ref idref="lg-8"/>
</allocated_space>
<allocated_space>
<start_address>0xe442</start_address>
<size>0x20</size>
<logical_group_ref idref="lg-7"/>
</allocated_space>
<available_space>
<start_address>0xe462</start_address>
<size>0x1b7e</size>
</available_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT00</name>
<page_id>0x0</page_id>
<origin>0xffe0</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT01</name>
<page_id>0x0</page_id>
<origin>0xffe2</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT02</name>
<page_id>0x0</page_id>
<origin>0xffe4</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffe4</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-14"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT03</name>
<page_id>0x0</page_id>
<origin>0xffe6</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffe6</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-16"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT04</name>
<page_id>0x0</page_id>
<origin>0xffe8</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT05</name>
<page_id>0x0</page_id>
<origin>0xffea</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffea</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-19"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT06</name>
<page_id>0x0</page_id>
<origin>0xffec</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffec</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-1b"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT07</name>
<page_id>0x0</page_id>
<origin>0xffee</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffee</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-1d"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT08</name>
<page_id>0x0</page_id>
<origin>0xfff0</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff0</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-1f"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT09</name>
<page_id>0x0</page_id>
<origin>0xfff2</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff2</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-21"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT10</name>
<page_id>0x0</page_id>
<origin>0xfff4</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff4</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-23"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT11</name>
<page_id>0x0</page_id>
<origin>0xfff6</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff6</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-25"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT12</name>
<page_id>0x0</page_id>
<origin>0xfff8</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff8</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-27"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT13</name>
<page_id>0x0</page_id>
<origin>0xfffa</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfffa</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-29"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT14</name>
<page_id>0x0</page_id>
<origin>0xfffc</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfffc</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-2b"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>RESET</name>
<page_id>0x0</page_id>
<origin>0xfffe</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfffe</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-2d"/>
</allocated_space>
</usage_details>
</memory_area>
</placement_map>
<cptbl_list>
<cptbl>
<name>__TI_cinit_table</name>
<cprec>
<name>.data</name>
<load_address>0xe442</load_address>
<load_size>0xe</load_size>
<run_address>0x218</run_address>
<run_size>0xa</run_size>
<compression>copy</compression>
</cprec>
<cprec>
<name>.bss</name>
<load_address>0xe456</load_address>
<load_size>0x4</load_size>
<run_address>0x200</run_address>
<run_size>0x18</run_size>
<compression>zero_init</compression>
</cprec>
</cptbl>
</cptbl_list>
<handler_table>
<handler_table_name>__TI_handler_table</handler_table_name>
<handler>
<index>0x0</index>
<name>__TI_zero_init</name>
</handler>
<handler>
<index>0x1</index>
<name>__TI_decompress_rle24</name>
</handler>
<handler>
<index>0x2</index>
<name>__TI_decompress_none</name>
</handler>
</handler_table>
<symbol_table>
<symbol id="sm-1">
<name>IE1</name>
<value>0x0</value>
</symbol>
<symbol id="sm-2">
<name>IFG1</name>
<value>0x2</value>
</symbol>
<symbol id="sm-3">
<name>IE2</name>
<value>0x1</value>
</symbol>
<symbol id="sm-4">
<name>IFG2</name>
<value>0x3</value>
</symbol>
<symbol id="sm-5">
<name>ADC10DTC0</name>
<value>0x48</value>
</symbol>
<symbol id="sm-6">
<name>ADC10DTC1</name>
<value>0x49</value>
</symbol>
<symbol id="sm-7">
<name>ADC10AE0</name>
<value>0x4a</value>
</symbol>
<symbol id="sm-8">
<name>ADC10CTL0</name>
<value>0x1b0</value>
</symbol>
<symbol id="sm-9">
<name>ADC10CTL1</name>
<value>0x1b2</value>
</symbol>
<symbol id="sm-a">
<name>ADC10MEM</name>
<value>0x1b4</value>
</symbol>
<symbol id="sm-b">
<name>ADC10SA</name>
<value>0x1bc</value>
</symbol>
<symbol id="sm-c">
<name>DCOCTL</name>
<value>0x56</value>
</symbol>
<symbol id="sm-d">
<name>BCSCTL1</name>
<value>0x57</value>
</symbol>
<symbol id="sm-e">
<name>BCSCTL2</name>
<value>0x58</value>
</symbol>
<symbol id="sm-f">
<name>BCSCTL3</name>
<value>0x53</value>
</symbol>
<symbol id="sm-10">
<name>CACTL1</name>
<value>0x59</value>
</symbol>
<symbol id="sm-11">
<name>CACTL2</name>
<value>0x5a</value>
</symbol>
<symbol id="sm-12">
<name>CAPD</name>
<value>0x5b</value>
</symbol>
<symbol id="sm-13">
<name>FCTL1</name>
<value>0x128</value>
</symbol>
<symbol id="sm-14">
<name>FCTL2</name>
<value>0x12a</value>
</symbol>
<symbol id="sm-15">
<name>FCTL3</name>
<value>0x12c</value>
</symbol>
<symbol id="sm-16">
<name>P1IN</name>
<value>0x20</value>
</symbol>
<symbol id="sm-17">
<name>P1OUT</name>
<value>0x21</value>
</symbol>
<symbol id="sm-18">
<name>P1DIR</name>
<value>0x22</value>
</symbol>
<symbol id="sm-19">
<name>P1IFG</name>
<value>0x23</value>
</symbol>
<symbol id="sm-1a">
<name>P1IES</name>
<value>0x24</value>
</symbol>
<symbol id="sm-1b">
<name>P1IE</name>
<value>0x25</value>
</symbol>
<symbol id="sm-1c">
<name>P1SEL</name>
<value>0x26</value>
</symbol>
<symbol id="sm-1d">
<name>P1SEL2</name>
<value>0x41</value>
</symbol>
<symbol id="sm-1e">
<name>P1REN</name>
<value>0x27</value>
</symbol>
<symbol id="sm-1f">
<name>P2IN</name>
<value>0x28</value>
</symbol>
<symbol id="sm-20">
<name>P2OUT</name>
<value>0x29</value>
</symbol>
<symbol id="sm-21">
<name>P2DIR</name>
<value>0x2a</value>
</symbol>
<symbol id="sm-22">
<name>P2IFG</name>
<value>0x2b</value>
</symbol>
<symbol id="sm-23">
<name>P2IES</name>
<value>0x2c</value>
</symbol>
<symbol id="sm-24">
<name>P2IE</name>
<value>0x2d</value>
</symbol>
<symbol id="sm-25">
<name>P2SEL</name>
<value>0x2e</value>
</symbol>
<symbol id="sm-26">
<name>P2SEL2</name>
<value>0x42</value>
</symbol>
<symbol id="sm-27">
<name>P2REN</name>
<value>0x2f</value>
</symbol>
<symbol id="sm-28">
<name>P3IN</name>
<value>0x18</value>
</symbol>
<symbol id="sm-29">
<name>P3OUT</name>
<value>0x19</value>
</symbol>
<symbol id="sm-2a">
<name>P3DIR</name>
<value>0x1a</value>
</symbol>
<symbol id="sm-2b">
<name>P3SEL</name>
<value>0x1b</value>
</symbol>
<symbol id="sm-2c">
<name>P3SEL2</name>
<value>0x43</value>
</symbol>
<symbol id="sm-2d">
<name>P3REN</name>
<value>0x10</value>
</symbol>
<symbol id="sm-2e">
<name>TA0IV</name>
<value>0x12e</value>
</symbol>
<symbol id="sm-2f">
<name>TA0CTL</name>
<value>0x160</value>
</symbol>
<symbol id="sm-30">
<name>TA0CCTL0</name>
<value>0x162</value>
</symbol>
<symbol id="sm-31">
<name>TA0CCTL1</name>
<value>0x164</value>
</symbol>
<symbol id="sm-32">
<name>TA0CCTL2</name>
<value>0x166</value>
</symbol>
<symbol id="sm-33">
<name>TA0R</name>
<value>0x170</value>
</symbol>
<symbol id="sm-34">
<name>TA0CCR0</name>
<value>0x172</value>
</symbol>
<symbol id="sm-35">
<name>TA0CCR1</name>
<value>0x174</value>
</symbol>
<symbol id="sm-36">
<name>TA0CCR2</name>
<value>0x176</value>
</symbol>
<symbol id="sm-37">
<name>TA1IV</name>
<value>0x11e</value>
</symbol>
<symbol id="sm-38">
<name>TA1CTL</name>
<value>0x180</value>
</symbol>
<symbol id="sm-39">
<name>TA1CCTL0</name>
<value>0x182</value>
</symbol>
<symbol id="sm-3a">
<name>TA1CCTL1</name>
<value>0x184</value>
</symbol>
<symbol id="sm-3b">
<name>TA1CCTL2</name>
<value>0x186</value>
</symbol>
<symbol id="sm-3c">
<name>TA1R</name>
<value>0x190</value>
</symbol>
<symbol id="sm-3d">
<name>TA1CCR0</name>
<value>0x192</value>
</symbol>
<symbol id="sm-3e">
<name>TA1CCR1</name>
<value>0x194</value>
</symbol>
<symbol id="sm-3f">
<name>TA1CCR2</name>
<value>0x196</value>
</symbol>
<symbol id="sm-40">
<name>UCA0CTL0</name>
<value>0x60</value>
</symbol>
<symbol id="sm-41">
<name>UCA0CTL1</name>
<value>0x61</value>
</symbol>
<symbol id="sm-42">
<name>UCA0BR0</name>
<value>0x62</value>
</symbol>
<symbol id="sm-43">
<name>UCA0BR1</name>
<value>0x63</value>
</symbol>
<symbol id="sm-44">
<name>UCA0MCTL</name>
<value>0x64</value>
</symbol>
<symbol id="sm-45">
<name>UCA0STAT</name>
<value>0x65</value>
</symbol>
<symbol id="sm-46">
<name>UCA0RXBUF</name>
<value>0x66</value>
</symbol>
<symbol id="sm-47">
<name>UCA0TXBUF</name>
<value>0x67</value>
</symbol>
<symbol id="sm-48">
<name>UCA0ABCTL</name>
<value>0x5d</value>
</symbol>
<symbol id="sm-49">
<name>UCA0IRTCTL</name>
<value>0x5e</value>
</symbol>
<symbol id="sm-4a">
<name>UCA0IRRCTL</name>
<value>0x5f</value>
</symbol>
<symbol id="sm-4b">
<name>UCB0CTL0</name>
<value>0x68</value>
</symbol>
<symbol id="sm-4c">
<name>UCB0CTL1</name>
<value>0x69</value>
</symbol>
<symbol id="sm-4d">
<name>UCB0BR0</name>
<value>0x6a</value>
</symbol>
<symbol id="sm-4e">
<name>UCB0BR1</name>
<value>0x6b</value>
</symbol>
<symbol id="sm-4f">
<name>UCB0I2CIE</name>
<value>0x6c</value>
</symbol>
<symbol id="sm-50">
<name>UCB0STAT</name>
<value>0x6d</value>
</symbol>
<symbol id="sm-51">
<name>UCB0RXBUF</name>
<value>0x6e</value>
</symbol>
<symbol id="sm-52">
<name>UCB0TXBUF</name>
<value>0x6f</value>
</symbol>
<symbol id="sm-53">
<name>UCB0I2COA</name>
<value>0x118</value>
</symbol>
<symbol id="sm-54">
<name>UCB0I2CSA</name>
<value>0x11a</value>
</symbol>
<symbol id="sm-55">
<name>WDTCTL</name>
<value>0x120</value>
</symbol>
<symbol id="sm-56">
<name>CALDCO_16MHZ</name>
<value>0x10f8</value>
</symbol>
<symbol id="sm-57">
<name>CALBC1_16MHZ</name>
<value>0x10f9</value>
</symbol>
<symbol id="sm-58">
<name>CALDCO_12MHZ</name>
<value>0x10fa</value>
</symbol>
<symbol id="sm-59">
<name>CALBC1_12MHZ</name>
<value>0x10fb</value>
</symbol>
<symbol id="sm-5a">
<name>CALDCO_8MHZ</name>
<value>0x10fc</value>
</symbol>
<symbol id="sm-5b">
<name>CALBC1_8MHZ</name>
<value>0x10fd</value>
</symbol>
<symbol id="sm-5c">
<name>CALDCO_1MHZ</name>
<value>0x10fe</value>
</symbol>
<symbol id="sm-5d">
<name>CALBC1_1MHZ</name>
<value>0x10ff</value>
</symbol>
<symbol id="sm-5e">
<name>__TI_CINIT_Base</name>
<value>0xe45a</value>
</symbol>
<symbol id="sm-5f">
<name>__TI_CINIT_Limit</name>
<value>0xe462</value>
</symbol>
<symbol id="sm-60">
<name>__TI_Handler_Table_Base</name>
<value>0xe450</value>
</symbol>
<symbol id="sm-61">
<name>__TI_Handler_Table_Limit</name>
<value>0xe456</value>
</symbol>
<symbol id="sm-62">
<name>binit</name>
<value>0xffffffff</value>
</symbol>
<symbol id="sm-63">
<name>__binit__</name>
<value>0xffffffff</value>
</symbol>
<symbol id="sm-64">
<name>__STACK_SIZE</name>
<value>0x50</value>
</symbol>
<symbol id="sm-65">
<name>__STACK_END</name>
<value>0x400</value>
</symbol>
<symbol id="sm-66">
<name>__c_args__</name>
<value>0xffffffff</value>
</symbol>
<symbol id="sm-123">
<name>playerState</name>
<value>0x216</value>
</symbol>
<symbol id="sm-124">
<name>VS1053SoftwareReset</name>
<value>0xdedc</value>
<object_component_ref idref="oc-178"/>
</symbol>
<symbol id="sm-125">
<name>plugin</name>
<value>0xe3a4</value>
<object_component_ref idref="oc-11f"/>
</symbol>
<symbol id="sm-126">
<name>ReadVS10xxMem</name>
<value>0xe21e</value>
<object_component_ref idref="oc-15c"/>
</symbol>
<symbol id="sm-127">
<name>LoadPlugin</name>
<value>0xd01e</value>
<object_component_ref idref="oc-121"/>
</symbol>
<symbol id="sm-128">
<name>VS1053PlayFile</name>
<value>0xc2ba</value>
<object_component_ref idref="oc-ad"/>
</symbol>
<symbol id="sm-129">
<name>audioFormat</name>
<value>0x220</value>
<object_component_ref idref="oc-173"/>
</symbol>
<symbol id="sm-12a">
<name>VSInitSoftware</name>
<value>0xcb26</value>
<object_component_ref idref="oc-95"/>
</symbol>
<symbol id="sm-12b">
<name>VS1053Init</name>
<value>0xe23a</value>
<object_component_ref idref="oc-e1"/>
</symbol>
<symbol id="sm-12c">
<name>VSInitHardware</name>
<value>0xe0c0</value>
<object_component_ref idref="oc-1cd"/>
</symbol>
<symbol id="sm-12d">
<name>WriteSci</name>
<value>0xd9d4</value>
<object_component_ref idref="oc-10f"/>
</symbol>
<symbol id="sm-12e">
<name>chipNumber</name>
<value>0xe3f4</value>
<object_component_ref idref="oc-11d"/>
</symbol>
<symbol id="sm-12f">
<name>ReadSci</name>
<value>0xd8c0</value>
<object_component_ref idref="oc-10a"/>
</symbol>
<symbol id="sm-130">
<name>WriteSdi</name>
<value>0xdd90</value>
<object_component_ref idref="oc-16d"/>
</symbol>
<symbol id="sm-21c">
<name>pf_mount</name>
<value>0xc000</value>
<object_component_ref idref="oc-d4"/>
</symbol>
<symbol id="sm-21d">
<name>pf_opendir</name>
<value>0xd3f8</value>
<object_component_ref idref="oc-1c2"/>
</symbol>
<symbol id="sm-21e">
<name>pf_open</name>
<value>0xd0d4</value>
<object_component_ref idref="oc-163"/>
</symbol>
<symbol id="sm-21f">
<name>pf_readdir</name>
<value>0xd22c</value>
<object_component_ref idref="oc-1c7"/>
</symbol>
<symbol id="sm-220">
<name>pf_read</name>
<value>0xc484</value>
<object_component_ref idref="oc-168"/>
</symbol>
<symbol id="sm-267">
<name>mmcSetBlockLength</name>
<value>0xdb30</value>
<object_component_ref idref="oc-2b9"/>
</symbol>
<symbol id="sm-268">
<name>mmcGetResponse</name>
<value>0xdf50</value>
<object_component_ref idref="oc-2c3"/>
</symbol>
<symbol id="sm-269">
<name>mmcReadBlock</name>
<value>0xd2ce</value>
<object_component_ref idref="oc-25e"/>
</symbol>
<symbol id="sm-26a">
<name>mmcGoIdle</name>
<value>0xd588</value>
<object_component_ref idref="oc-2b2"/>
</symbol>
<symbol id="sm-26b">
<name>mmcSendCmd</name>
<value>0xd604</value>
<object_component_ref idref="oc-2be"/>
</symbol>
<symbol id="sm-26c">
<name>mmcGetXXResponse</name>
<value>0xdf16</value>
<object_component_ref idref="oc-2c8"/>
</symbol>
<symbol id="sm-26d">
<name>mmcInit</name>
<value>0xde5c</value>
<object_component_ref idref="oc-253"/>
</symbol>
<symbol id="sm-2a3">
<name>__TI_int02</name>
<value>0xffe4</value>
<object_component_ref idref="oc-2e"/>
</symbol>
<symbol id="sm-2a4">
<name>directory_info</name>
<value>0xd50a</value>
<object_component_ref idref="oc-db"/>
</symbol>
<symbol id="sm-2a5">
<name>main</name>
<value>0xc61e</value>
<object_component_ref idref="oc-64"/>
</symbol>
<symbol id="sm-2a6">
<name>Port1_Interrupt</name>
<value>0xd368</value>
<object_component_ref idref="oc-51"/>
</symbol>
<symbol id="sm-2d7">
<name>spiSendByte</name>
<value>0xe200</value>
<object_component_ref idref="oc-1d7"/>
</symbol>
<symbol id="sm-2d8">
<name>halSPISetup</name>
<value>0xe02a</value>
<object_component_ref idref="oc-c0"/>
</symbol>
<symbol id="sm-2d9">
<name>spiSendFrame</name>
<value>0xd67c</value>
<object_component_ref idref="oc-239"/>
</symbol>
<symbol id="sm-2da">
<name>spiReadFrame</name>
<value>0xd746</value>
<object_component_ref idref="oc-1dc"/>
</symbol>
<symbol id="sm-2db">
<name>halSPISetSpeedHigh</name>
<value>0xe384</value>
<object_component_ref idref="oc-114"/>
</symbol>
<symbol id="sm-2dc">
<name>halSPISetSpeedLow</name>
<value>0xe36e</value>
<object_component_ref idref="oc-105"/>
</symbol>
<symbol id="sm-2f3">
<name>disk_initialize</name>
<value>0xe174</value>
<object_component_ref idref="oc-1ad"/>
</symbol>
<symbol id="sm-2f4">
<name>disk_readp</name>
<value>0xd862</value>
<object_component_ref idref="oc-1b7"/>
</symbol>
<symbol id="sm-33a">
<name>WriteSPI</name>
<value>0xe05e</value>
<object_component_ref idref="oc-18d"/>
</symbol>
<symbol id="sm-33b">
<name>ReadSPI</name>
<value>0xdff6</value>
<object_component_ref idref="oc-17e"/>
</symbol>
<symbol id="sm-33c">
<name>ADXLSetRange</name>
<value>0xe090</value>
<object_component_ref idref="oc-192"/>
</symbol>
<symbol id="sm-33d">
<name>Read16SPI</name>
<value>0xdcbc</value>
<object_component_ref idref="oc-1a2"/>
</symbol>
<symbol id="sm-33e">
<name>ADXLInitInterrupts</name>
<value>0xdb84</value>
<object_component_ref idref="oc-e6"/>
</symbol>
<symbol id="sm-33f">
<name>ADXLClearInterrupts</name>
<value>0xe37a</value>
<object_component_ref idref="oc-b2"/>
</symbol>
<symbol id="sm-340">
<name>ADXLStandbyOff</name>
<value>0xe362</value>
<object_component_ref idref="oc-19c"/>
</symbol>
<symbol id="sm-341">
<name>ADXLRead</name>
<value>0xdd4c</value>
<object_component_ref idref="oc-ca"/>
</symbol>
<symbol id="sm-342">
<name>ADXLSetDataRate</name>
<value>0xe1c2</value>
<object_component_ref idref="oc-197"/>
</symbol>
<symbol id="sm-343">
<name>ADXLInit</name>
<value>0xdc24</value>
<object_component_ref idref="oc-c5"/>
</symbol>
<symbol id="sm-351">
<name>_c_int00_noexit</name>
<value>0xe272</value>
<object_component_ref idref="oc-3a"/>
</symbol>
<symbol id="sm-352">
<name>_c_int00</name>
<value>0xe272</value>
<object_component_ref idref="oc-3a"/>
</symbol>
<symbol id="sm-353">
<name>_stack</name>
<value>0x3b0</value>
<object_component_ref idref="oc-4e"/>
</symbol>
<symbol id="sm-354">
<name>_reset_vector</name>
<value>0xfffe</value>
<object_component_ref idref="oc-50"/>
</symbol>
<symbol id="sm-369">
<name>__TI_decompress_none</name>
<value>0xe30e</value>
<object_component_ref idref="oc-3f"/>
</symbol>
<symbol id="sm-389">
<name>__TI_decompress_rle24</name>
<value>0xe394</value>
<object_component_ref idref="oc-49"/>
</symbol>
<symbol id="sm-3a0">
<name>__TI_zero_init</name>
<value>0xe2d2</value>
<object_component_ref idref="oc-44"/>
</symbol>
<symbol id="sm-3ab">
<name>__mspabi_remi</name>
<value>0xe11c</value>
<object_component_ref idref="oc-a1"/>
</symbol>
<symbol id="sm-3ac">
<name>__mspabi_divi</name>
<value>0xe11c</value>
<object_component_ref idref="oc-a1"/>
</symbol>
<symbol id="sm-3b5">
<name>__mspabi_remu</name>
<value>0xe2bc</value>
<object_component_ref idref="oc-13c"/>
</symbol>
<symbol id="sm-3b6">
<name>__mspabi_divu</name>
<value>0xe2bc</value>
<object_component_ref idref="oc-13c"/>
</symbol>
<symbol id="sm-3c3">
<name>__mspabi_remul</name>
<value>0xda2c</value>
<object_component_ref idref="oc-1bc"/>
</symbol>
<symbol id="sm-3c4">
<name>__mspabi_divul</name>
<value>0xda2c</value>
<object_component_ref idref="oc-1bc"/>
</symbol>
<symbol id="sm-3cc">
<name>__mspabi_func_epilog_2</name>
<value>0xe34e</value>
<object_component_ref idref="oc-ba"/>
</symbol>
<symbol id="sm-3cd">
<name>__mspabi_func_epilog_3</name>
<value>0xe34c</value>
<object_component_ref idref="oc-ba"/>
</symbol>
<symbol id="sm-3ce">
<name>__mspabi_func_epilog_1</name>
<value>0xe350</value>
<object_component_ref idref="oc-ba"/>
</symbol>
<symbol id="sm-3cf">
<name>__mspabi_func_epilog_6</name>
<value>0xe346</value>
<object_component_ref idref="oc-ba"/>
</symbol>
<symbol id="sm-3d0">
<name>__mspabi_func_epilog_7</name>
<value>0xe344</value>
<object_component_ref idref="oc-ba"/>
</symbol>
<symbol id="sm-3d1">
<name>__mspabi_func_epilog_4</name>
<value>0xe34a</value>
<object_component_ref idref="oc-ba"/>
</symbol>
<symbol id="sm-3d2">
<name>__mspabi_func_epilog_5</name>
<value>0xe348</value>
<object_component_ref idref="oc-ba"/>
</symbol>
<symbol id="sm-3db">
<name>C$$EXIT</name>
<value>0xe39e</value>
<object_component_ref idref="oc-69"/>
</symbol>
<symbol id="sm-3dc">
<name>abort</name>
<value>0xe39e</value>
<object_component_ref idref="oc-69"/>
</symbol>
<symbol id="sm-3df">
<name>__TI_int03</name>
<value>0xffe6</value>
<object_component_ref idref="oc-2f"/>
</symbol>
<symbol id="sm-3e2">
<name>__TI_int05</name>
<value>0xffea</value>
<object_component_ref idref="oc-30"/>
</symbol>
<symbol id="sm-3e5">
<name>__TI_int06</name>
<value>0xffec</value>
<object_component_ref idref="oc-31"/>
</symbol>
<symbol id="sm-3e8">
<name>__TI_int07</name>
<value>0xffee</value>
<object_component_ref idref="oc-32"/>
</symbol>
<symbol id="sm-3eb">
<name>__TI_int08</name>
<value>0xfff0</value>
<object_component_ref idref="oc-33"/>
</symbol>
<symbol id="sm-3ee">
<name>__TI_int09</name>
<value>0xfff2</value>
<object_component_ref idref="oc-34"/>
</symbol>
<symbol id="sm-3f1">
<name>__TI_int10</name>
<value>0xfff4</value>
<object_component_ref idref="oc-35"/>
</symbol>
<symbol id="sm-3f4">
<name>__TI_int11</name>
<value>0xfff6</value>
<object_component_ref idref="oc-36"/>
</symbol>
<symbol id="sm-3f7">
<name>__TI_int12</name>
<value>0xfff8</value>
<object_component_ref idref="oc-37"/>
</symbol>
<symbol id="sm-3fa">
<name>__TI_int13</name>
<value>0xfffa</value>
<object_component_ref idref="oc-38"/>
</symbol>
<symbol id="sm-3fd">
<name>__TI_int14</name>
<value>0xfffc</value>
<object_component_ref idref="oc-39"/>
</symbol>
<symbol id="sm-404">
<name>__TI_ISR_TRAP</name>
<value>0xe38e</value>
<object_component_ref idref="oc-56"/>
</symbol>
<symbol id="sm-40b">
<name>__mspabi_slli</name>
<value>0xe148</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-40c">
<name>__mspabi_slli_9</name>
<value>0xe160</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-40d">
<name>__mspabi_slli_8</name>
<value>0xe162</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-40e">
<name>__mspabi_slli_7</name>
<value>0xe164</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-40f">
<name>__mspabi_slli_6</name>
<value>0xe166</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-410">
<name>__mspabi_slli_5</name>
<value>0xe168</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-411">
<name>__mspabi_slli_4</name>
<value>0xe16a</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-412">
<name>__mspabi_slli_3</name>
<value>0xe16c</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-413">
<name>__mspabi_slli_2</name>
<value>0xe16e</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-414">
<name>__mspabi_slli_1</name>
<value>0xe170</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-415">
<name>__mspabi_slli_15</name>
<value>0xe154</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-416">
<name>__mspabi_slli_14</name>
<value>0xe156</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-417">
<name>__mspabi_slli_13</name>
<value>0xe158</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-418">
<name>__mspabi_slli_12</name>
<value>0xe15a</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-419">
<name>__mspabi_slli_11</name>
<value>0xe15c</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-41a">
<name>__mspabi_slli_10</name>
<value>0xe15e</value>
<object_component_ref idref="oc-2d2"/>
</symbol>
<symbol id="sm-421">
<name>__mspabi_slll_9</name>
<value>0xdeb6</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-422">
<name>__mspabi_slll_8</name>
<value>0xdeba</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-423">
<name>__mspabi_slll_7</name>
<value>0xdebe</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-424">
<name>__mspabi_slll_6</name>
<value>0xdec2</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-425">
<name>__mspabi_slll_5</name>
<value>0xdec6</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-426">
<name>__mspabi_slll_4</name>
<value>0xdeca</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-427">
<name>__mspabi_slll_3</name>
<value>0xdece</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-428">
<name>__mspabi_slll_2</name>
<value>0xded2</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-429">
<name>__mspabi_slll_1</name>
<value>0xded6</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-42a">
<name>__mspabi_slll_15</name>
<value>0xde9e</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-42b">
<name>__mspabi_slll_14</name>
<value>0xdea2</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-42c">
<name>__mspabi_slll_13</name>
<value>0xdea6</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-42d">
<name>__mspabi_slll_12</name>
<value>0xdeaa</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-42e">
<name>__mspabi_slll_11</name>
<value>0xdeae</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-42f">
<name>__mspabi_slll_10</name>
<value>0xdeb2</value>
<object_component_ref idref="oc-25a"/>
</symbol>
<symbol id="sm-436">
<name>__mspabi_srli_8</name>
<value>0xdc9a</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-437">
<name>__mspabi_srli_9</name>
<value>0xdc96</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-438">
<name>__mspabi_srli_6</name>
<value>0xdca2</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-439">
<name>__mspabi_srli_7</name>
<value>0xdc9e</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-43a">
<name>__mspabi_srli_4</name>
<value>0xdcaa</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-43b">
<name>__mspabi_srli_5</name>
<value>0xdca6</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-43c">
<name>__mspabi_srli_2</name>
<value>0xdcb2</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-43d">
<name>__mspabi_srli_3</name>
<value>0xdcae</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-43e">
<name>__mspabi_srli_1</name>
<value>0xdcb6</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-43f">
<name>__mspabi_srli</name>
<value>0xdc70</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-440">
<name>__mspabi_srli_15</name>
<value>0xdc7e</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-441">
<name>__mspabi_srli_14</name>
<value>0xdc82</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-442">
<name>__mspabi_srli_13</name>
<value>0xdc86</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-443">
<name>__mspabi_srli_12</name>
<value>0xdc8a</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-444">
<name>__mspabi_srli_11</name>
<value>0xdc8e</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-445">
<name>__mspabi_srli_10</name>
<value>0xdc92</value>
<object_component_ref idref="oc-119"/>
</symbol>
<symbol id="sm-453">
<name>__mspabi_srll_8</name>
<value>0xd946</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-454">
<name>__mspabi_srll_9</name>
<value>0xd940</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-455">
<name>__mspabi_srll_6</name>
<value>0xd952</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-456">
<name>__mspabi_srll_7</name>
<value>0xd94c</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-457">
<name>__mspabi_srll_4</name>
<value>0xd95e</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-458">
<name>__mspabi_srll_5</name>
<value>0xd958</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-459">
<name>__mspabi_srll_2</name>
<value>0xd96a</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-45a">
<name>__mspabi_srll_3</name>
<value>0xd964</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-45b">
<name>__mspabi_srll_1</name>
<value>0xd970</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-45c">
<name>__mspabi_srll_15</name>
<value>0xd91c</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-45d">
<name>__mspabi_srll_14</name>
<value>0xd922</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-45e">
<name>__mspabi_srll_13</name>
<value>0xd928</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-45f">
<name>__mspabi_srll_12</name>
<value>0xd92e</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-460">
<name>__mspabi_srll_11</name>
<value>0xd934</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-461">
<name>__mspabi_srll_10</name>
<value>0xd93a</value>
<object_component_ref idref="oc-225"/>
</symbol>
<symbol id="sm-462">
<name>__mspabi_srll</name>
<value>0xe320</value>
<object_component_ref idref="oc-2fd"/>
</symbol>
<symbol id="sm-46f">
<name>memcpy</name>
<value>0xe332</value>
<object_component_ref idref="oc-6f"/>
</symbol>
<symbol id="sm-478">
<name>__mspabi_mpyl</name>
<value>0xe19c</value>
<object_component_ref idref="oc-12b"/>
</symbol>
<symbol id="sm-480">
<name>_system_pre_init</name>
<value>0xe39a</value>
<object_component_ref idref="oc-5a"/>
</symbol>
<symbol id="sm-496">
<name>rand</name>
<value>0xdf88</value>
<object_component_ref idref="oc-9a"/>
</symbol>
<symbol id="sm-497">
<name>srand</name>
<value>0xe2a4</value>
<object_component_ref idref="oc-cf"/>
</symbol>
<symbol id="sm-4c0">
<name>snprintf</name>
<value>0xdada</value>
<object_component_ref idref="oc-a7"/>
</symbol>
<symbol id="sm-4cf">
<name>_nop</name>
<value>0xe3a2</value>
<object_component_ref idref="oc-1ea"/>
</symbol>
<symbol id="sm-4d0">
<name>_lock</name>
<value>0x218</value>
<object_component_ref idref="oc-127"/>
</symbol>
<symbol id="sm-4d1">
<name>_unlock</name>
<value>0x21a</value>
<object_component_ref idref="oc-127"/>
</symbol>
<symbol id="sm-531">
<name>__TI_printfi_minimal</name>
<value>0xcd08</value>
<object_component_ref idref="oc-14b"/>
</symbol>
<symbol id="sm-547">
<name>_auto_init</name>
<value>0xd804</value>
<object_component_ref idref="oc-5f"/>
</symbol>
<symbol id="sm-555">
<name>memccpy</name>
<value>0xe256</value>
<object_component_ref idref="oc-292"/>
</symbol>
<symbol id="sm-562">
<name>memset</name>
<value>0xe2e6</value>
<object_component_ref idref="oc-287"/>
</symbol>
<symbol id="sm-56b">
<name>__mspabi_mpyi</name>
<value>0xe2fa</value>
<object_component_ref idref="oc-30d"/>
</symbol>
<symbol id="sm-577">
<name>strlen</name>
<value>0xe354</value>
<object_component_ref idref="oc-1f8"/>
</symbol>
<symbol id="sm-578">
<name>__TI_exit</name>
<value>0x1</value>
</symbol>
<symbol id="sm-579">
<name>__TI_args_main</name>
<value>0x1</value>
</symbol>
<symbol id="sm-57a">
<name>__TI_INITARRAY_Base</name>
<value>0x0</value>
</symbol>
<symbol id="sm-57b">
<name>__TI_INITARRAY_Limit</name>
<value>0x0</value>
</symbol>
</symbol_table>
<title>Link successful</title>
</link_info>
/MSP430/MSP430_Audio_Cube/Debug/adxl345.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Debug/adxl345.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Debug/adxl345.pp
0,0 → 1,21
# FIXED
 
adxl345.obj: ../adxl345.c
adxl345.obj: ../adxl345.h
adxl345.obj: ../hal_hardware_board.h
adxl345.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h
adxl345.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h
adxl345.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h
adxl345.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h
adxl345.obj: ../hal_SPI.h
adxl345.obj: ../hal_hardware_board.h
 
../adxl345.c:
../adxl345.h:
../hal_hardware_board.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h:
../hal_SPI.h:
../hal_hardware_board.h:
/MSP430/MSP430_Audio_Cube/Debug/ccsObjs.opt
0,0 → 1,0
"./vs1053.obj" "./pff.obj" "./mmc.obj" "./main.obj" "./hal_SPI.obj" "./diskio.obj" "./adxl345.obj" "../lnk_msp430g2553.cmd" -l"libc.a"
/MSP430/MSP430_Audio_Cube/Debug/diskio.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Debug/diskio.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Debug/diskio.pp
0,0 → 1,11
# FIXED
 
diskio.obj: ../diskio.c
diskio.obj: ../diskio.h
diskio.obj: ../integer.h
diskio.obj: ../mmc.h
 
../diskio.c:
../diskio.h:
../integer.h:
../mmc.h:
/MSP430/MSP430_Audio_Cube/Debug/hal_SPI.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Debug/hal_SPI.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Debug/hal_SPI.pp
0,0 → 1,19
# FIXED
 
hal_SPI.obj: ../hal_SPI.c
hal_SPI.obj: ../hal_SPI.h
hal_SPI.obj: ../hal_hardware_board.h
hal_SPI.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h
hal_SPI.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h
hal_SPI.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h
hal_SPI.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h
hal_SPI.obj: ../hal_hardware_board.h
 
../hal_SPI.c:
../hal_SPI.h:
../hal_hardware_board.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h:
../hal_hardware_board.h:
/MSP430/MSP430_Audio_Cube/Debug/main.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Debug/main.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Debug/main.pp
0,0 → 1,39
# FIXED
 
main.obj: ../main.c
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdio.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/linkage.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdarg.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdlib.h
main.obj: ../hal_SPI.h
main.obj: ../hal_hardware_board.h
main.obj: ../mmc.h
main.obj: ../diskio.h
main.obj: ../integer.h
main.obj: ../pff.h
main.obj: ../player.h
main.obj: ../vs10xx_uc.h
main.obj: ../adxl345.h
 
../main.c:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdio.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/linkage.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdarg.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdlib.h:
../hal_SPI.h:
../hal_hardware_board.h:
../mmc.h:
../diskio.h:
../integer.h:
../pff.h:
../player.h:
../vs10xx_uc.h:
../adxl345.h:
/MSP430/MSP430_Audio_Cube/Debug/makefile
0,0 → 1,140
################################################################################
# Automatically-generated file. Do not edit!
################################################################################
 
SHELL = cmd.exe
 
CG_TOOL_ROOT := C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1
 
ORDERED_OBJS += \
$(GEN_CMDS__FLAG) \
"./vs1053.obj" \
"./pff.obj" \
"./mmc.obj" \
"./main.obj" \
"./hal_SPI.obj" \
"./diskio.obj" \
"./adxl345.obj" \
"../lnk_msp430g2553.cmd" \
-l"libc.a" \
 
-include ../makefile.init
 
RM := DEL /F
RMDIR := RMDIR /S/Q
 
# All of the sources participating in the build are defined here
-include sources.mk
-include subdir_vars.mk
-include subdir_rules.mk
-include objects.mk
 
ifneq ($(MAKECMDGOALS),clean)
ifneq ($(strip $(S_DEPS)),)
-include $(S_DEPS)
endif
ifneq ($(strip $(S_UPPER_DEPS)),)
-include $(S_UPPER_DEPS)
endif
ifneq ($(strip $(S62_DEPS)),)
-include $(S62_DEPS)
endif
ifneq ($(strip $(C64_DEPS)),)
-include $(C64_DEPS)
endif
ifneq ($(strip $(ASM_DEPS)),)
-include $(ASM_DEPS)
endif
ifneq ($(strip $(CC_DEPS)),)
-include $(CC_DEPS)
endif
ifneq ($(strip $(S55_DEPS)),)
-include $(S55_DEPS)
endif
ifneq ($(strip $(C67_DEPS)),)
-include $(C67_DEPS)
endif
ifneq ($(strip $(C??_DEPS)),)
-include $(C??_DEPS)
endif
ifneq ($(strip $(CLA_DEPS)),)
-include $(CLA_DEPS)
endif
ifneq ($(strip $(CPP_DEPS)),)
-include $(CPP_DEPS)
endif
ifneq ($(strip $(S??_DEPS)),)
-include $(S??_DEPS)
endif
ifneq ($(strip $(C_DEPS)),)
-include $(C_DEPS)
endif
ifneq ($(strip $(C62_DEPS)),)
-include $(C62_DEPS)
endif
ifneq ($(strip $(CXX_DEPS)),)
-include $(CXX_DEPS)
endif
ifneq ($(strip $(C++_DEPS)),)
-include $(C++_DEPS)
endif
ifneq ($(strip $(ASM_UPPER_DEPS)),)
-include $(ASM_UPPER_DEPS)
endif
ifneq ($(strip $(K_DEPS)),)
-include $(K_DEPS)
endif
ifneq ($(strip $(C43_DEPS)),)
-include $(C43_DEPS)
endif
ifneq ($(strip $(S67_DEPS)),)
-include $(S67_DEPS)
endif
ifneq ($(strip $(SA_DEPS)),)
-include $(SA_DEPS)
endif
ifneq ($(strip $(S43_DEPS)),)
-include $(S43_DEPS)
endif
ifneq ($(strip $(OPT_DEPS)),)
-include $(OPT_DEPS)
endif
ifneq ($(strip $(S64_DEPS)),)
-include $(S64_DEPS)
endif
ifneq ($(strip $(C_UPPER_DEPS)),)
-include $(C_UPPER_DEPS)
endif
ifneq ($(strip $(C55_DEPS)),)
-include $(C55_DEPS)
endif
endif
 
-include ../makefile.defs
 
# Add inputs and outputs from these tool invocations to the build variables
 
# All Target
all: MSP430_Audio_Project.out
 
# Tool invocations
MSP430_Audio_Project.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS)
@echo 'Building target: $@'
@echo 'Invoking: MSP430 Linker'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -Ooff -g --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal -z -m"MSP430_Audio_Project.map" --heap_size=80 --stack_size=80 -i"C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" -i"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/lib" -i"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" --reread_libs --warn_sections --display_error_number --diag_wrap=off --xml_link_info="MSP430_Audio_Project_linkInfo.xml" --rom_model -o "MSP430_Audio_Project.out" $(ORDERED_OBJS)
@echo 'Finished building target: $@'
@echo ' '
 
# Other Targets
clean:
-$(RM) $(MSP430_EXECUTABLE_OUTPUTS__QUOTED) "MSP430_Audio_Project.out"
-$(RM) "adxl345.pp" "diskio.pp" "hal_SPI.pp" "main.pp" "mmc.pp" "pff.pp" "vs1053.pp"
-$(RM) "adxl345.obj" "diskio.obj" "hal_SPI.obj" "main.obj" "mmc.obj" "pff.obj" "vs1053.obj"
-@echo 'Finished clean'
-@echo ' '
 
.PHONY: all clean dependents
.SECONDARY:
 
-include ../makefile.targets
 
/MSP430/MSP430_Audio_Cube/Debug/mmc.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Debug/mmc.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Debug/mmc.pp
0,0 → 1,33
# FIXED
 
mmc.obj: ../mmc.c
mmc.obj: ../mmc.h
mmc.obj: ../hal_SPI.h
mmc.obj: ../hal_hardware_board.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h
mmc.obj: ../hal_hardware_board.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/math.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/ymath.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/yvals.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdarg.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/linkage.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/_lock.h
 
../mmc.c:
../mmc.h:
../hal_SPI.h:
../hal_hardware_board.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h:
../hal_hardware_board.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/math.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/ymath.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/yvals.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdarg.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/linkage.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/_lock.h:
/MSP430/MSP430_Audio_Cube/Debug/objects.mk
0,0 → 1,8
################################################################################
# Automatically-generated file. Do not edit!
################################################################################
 
USER_OBJS :=
 
LIBS := -l"libc.a"
 
/MSP430/MSP430_Audio_Cube/Debug/pff.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Debug/pff.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Debug/pff.pp
0,0 → 1,11
# FIXED
 
pff.obj: ../pff.c
pff.obj: ../pff.h
pff.obj: ../integer.h
pff.obj: ../diskio.h
 
../pff.c:
../pff.h:
../integer.h:
../diskio.h:
/MSP430/MSP430_Audio_Cube/Debug/sources.mk
0,0 → 1,101
################################################################################
# Automatically-generated file. Do not edit!
################################################################################
 
O_SRCS :=
CPP_SRCS :=
K_SRCS :=
LD_SRCS :=
S67_SRCS :=
LDS_SRCS :=
CMD_SRCS :=
CXX_SRCS :=
CMD_UPPER_SRCS :=
C43_SRCS :=
S55_SRCS :=
LD_UPPER_SRCS :=
C62_SRCS :=
S_UPPER_SRCS :=
A_SRCS :=
C55_SRCS :=
SA_SRCS :=
LDS_UPPER_SRCS :=
C_UPPER_SRCS :=
OBJ_SRCS :=
S62_SRCS :=
LIB_SRCS :=
ASM_SRCS :=
S??_SRCS :=
C++_SRCS :=
CLA_SRCS :=
ASM_UPPER_SRCS :=
C_SRCS :=
C67_SRCS :=
S_SRCS :=
S43_SRCS :=
OPT_SRCS :=
C64_SRCS :=
C??_SRCS :=
CC_SRCS :=
S64_SRCS :=
OBJS :=
S_DEPS :=
S_UPPER_DEPS :=
S62_DEPS :=
C64_DEPS :=
ASM_DEPS :=
CC_DEPS :=
S55_DEPS :=
C67_DEPS :=
C??_DEPS :=
CLA_DEPS :=
CPP_DEPS :=
S??_DEPS :=
C_DEPS :=
MSP430_EXECUTABLE_OUTPUTS :=
C62_DEPS :=
CXX_DEPS :=
C++_DEPS :=
ASM_UPPER_DEPS :=
K_DEPS :=
C43_DEPS :=
S67_DEPS :=
SA_DEPS :=
S43_DEPS :=
OPT_DEPS :=
S64_DEPS :=
C_UPPER_DEPS :=
C55_DEPS :=
CPP_DEPS__QUOTED :=
C67_DEPS__QUOTED :=
C??_DEPS__QUOTED :=
S_UPPER_DEPS__QUOTED :=
CLA_DEPS__QUOTED :=
ASM_UPPER_DEPS__QUOTED :=
MSP430_EXECUTABLE_OUTPUTS__QUOTED :=
C62_DEPS__QUOTED :=
CXX_DEPS__QUOTED :=
S67_DEPS__QUOTED :=
C_DEPS__QUOTED :=
C_UPPER_DEPS__QUOTED :=
OPT_DEPS__QUOTED :=
S_DEPS__QUOTED :=
S??_DEPS__QUOTED :=
K_DEPS__QUOTED :=
C64_DEPS__QUOTED :=
C++_DEPS__QUOTED :=
OBJS__QUOTED :=
S43_DEPS__QUOTED :=
CC_DEPS__QUOTED :=
S55_DEPS__QUOTED :=
C55_DEPS__QUOTED :=
SA_DEPS__QUOTED :=
C43_DEPS__QUOTED :=
S62_DEPS__QUOTED :=
ASM_DEPS__QUOTED :=
S64_DEPS__QUOTED :=
 
# Every subdirectory with source files must be described here
SUBDIRS := \
. \
 
/MSP430/MSP430_Audio_Cube/Debug/subdir_rules.mk
0,0 → 1,55
################################################################################
# Automatically-generated file. Do not edit!
################################################################################
 
# Each subdirectory must supply rules for building sources it contributes
adxl345.obj: ../adxl345.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -Ooff --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" -g --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="adxl345.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
diskio.obj: ../diskio.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -Ooff --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" -g --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="diskio.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
hal_SPI.obj: ../hal_SPI.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -Ooff --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" -g --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="hal_SPI.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
main.obj: ../main.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -Ooff --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" -g --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="main.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
mmc.obj: ../mmc.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -Ooff --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" -g --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="mmc.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
pff.obj: ../pff.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -Ooff --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" -g --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="pff.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
vs1053.obj: ../vs1053.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -Ooff --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" -g --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="vs1053.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
 
/MSP430/MSP430_Audio_Cube/Debug/subdir_vars.mk
0,0 → 1,63
################################################################################
# Automatically-generated file. Do not edit!
################################################################################
 
# Add inputs and outputs from these tool invocations to the build variables
CMD_SRCS += \
../lnk_msp430g2553.cmd
 
C_SRCS += \
../adxl345.c \
../diskio.c \
../hal_SPI.c \
../main.c \
../mmc.c \
../pff.c \
../vs1053.c
 
OBJS += \
./adxl345.obj \
./diskio.obj \
./hal_SPI.obj \
./main.obj \
./mmc.obj \
./pff.obj \
./vs1053.obj
 
C_DEPS += \
./adxl345.pp \
./diskio.pp \
./hal_SPI.pp \
./main.pp \
./mmc.pp \
./pff.pp \
./vs1053.pp
 
C_DEPS__QUOTED += \
"adxl345.pp" \
"diskio.pp" \
"hal_SPI.pp" \
"main.pp" \
"mmc.pp" \
"pff.pp" \
"vs1053.pp"
 
OBJS__QUOTED += \
"adxl345.obj" \
"diskio.obj" \
"hal_SPI.obj" \
"main.obj" \
"mmc.obj" \
"pff.obj" \
"vs1053.obj"
 
C_SRCS__QUOTED += \
"../adxl345.c" \
"../diskio.c" \
"../hal_SPI.c" \
"../main.c" \
"../mmc.c" \
"../pff.c" \
"../vs1053.c"
 
 
/MSP430/MSP430_Audio_Cube/Debug/vs1053.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Debug/vs1053.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Debug/vs1053.pp
0,0 → 1,27
# FIXED
 
vs1053.obj: ../vs1053.c
vs1053.obj: ../player.h
vs1053.obj: ../vs10xx_uc.h
vs1053.obj: ../hal_SPI.h
vs1053.obj: ../hal_hardware_board.h
vs1053.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h
vs1053.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h
vs1053.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h
vs1053.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h
vs1053.obj: ../hal_hardware_board.h
vs1053.obj: ../pff.h
vs1053.obj: ../integer.h
 
../vs1053.c:
../player.h:
../vs10xx_uc.h:
../hal_SPI.h:
../hal_hardware_board.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h:
../hal_hardware_board.h:
../pff.h:
../integer.h:
/MSP430/MSP430_Audio_Cube/README.txt
0,0 → 1,8
// MMC Library Source: http://www.ti.com/lit/an/slaa281b/slaa281b.pdf
// FAT Library Source: http://elm-chan.org/fsw/ff/00index_p.html
// Other resouces:
// - http://nerdclub-uk.blogspot.com/2012/11/how-spi-works-with-sd-card.html
 
Not implemented:
- diskio.c write to SD card function
- vs1053.c record audio to file function
/MSP430/MSP430_Audio_Cube/Release/MSP430_Audio_Project.map
0,0 → 1,711
******************************************************************************
MSP430 Linker PC v4.2.1
******************************************************************************
>> Linked Thu Jan 16 20:43:38 2014
 
OUTPUT FILE NAME: <MSP430_Audio_Project.out>
ENTRY POINT SYMBOL: "_c_int00" address: 0000dc16
 
 
MEMORY CONFIGURATION
 
name origin length used unused attr fill
---------------------- -------- --------- -------- -------- ---- --------
SFR 00000000 00000010 00000000 00000010 RWIX
PERIPHERALS_8BIT 00000010 000000f0 00000000 000000f0 RWIX
PERIPHERALS_16BIT 00000100 00000100 00000000 00000100 RWIX
RAM 00000200 00000200 00000070 00000190 RWIX
INFOD 00001000 00000040 00000000 00000040 RWIX
INFOC 00001040 00000040 00000000 00000040 RWIX
INFOB 00001080 00000040 00000000 00000040 RWIX
INFOA 000010c0 00000040 00000000 00000040 RWIX
FLASH 0000c000 00003fe0 00001df6 000021ea RWIX
INT00 0000ffe0 00000002 00000000 00000002 RWIX
INT01 0000ffe2 00000002 00000000 00000002 RWIX
INT02 0000ffe4 00000002 00000002 00000000 RWIX
INT03 0000ffe6 00000002 00000002 00000000 RWIX
INT04 0000ffe8 00000002 00000000 00000002 RWIX
INT05 0000ffea 00000002 00000002 00000000 RWIX
INT06 0000ffec 00000002 00000002 00000000 RWIX
INT07 0000ffee 00000002 00000002 00000000 RWIX
INT08 0000fff0 00000002 00000002 00000000 RWIX
INT09 0000fff2 00000002 00000002 00000000 RWIX
INT10 0000fff4 00000002 00000002 00000000 RWIX
INT11 0000fff6 00000002 00000002 00000000 RWIX
INT12 0000fff8 00000002 00000002 00000000 RWIX
INT13 0000fffa 00000002 00000002 00000000 RWIX
INT14 0000fffc 00000002 00000002 00000000 RWIX
RESET 0000fffe 00000002 00000002 00000000 RWIX
 
 
SECTION ALLOCATION MAP
 
output attributes/
section page origin length input sections
-------- ---- ---------- ---------- ----------------
.init_array
* 0 0000c000 00000000 UNINITIALIZED
 
.bss 0 00000200 00000018 UNINITIALIZED
00000200 00000010 (.common:filename$3)
00000210 00000002 (.common:FatFs$1)
00000212 00000002 (.common:dir_count$3)
00000214 00000002 (.common:file_count$3)
00000216 00000002 (.common:playerState)
 
.TI.noinit
* 0 00000200 00000000 UNINITIALIZED
 
.data 0 00000218 00000008 UNINITIALIZED
00000218 00000004 rts430_eabi.lib : _lock.obj (.data)
0000021c 00000004 : rand.obj (.data)
 
.TI.persistent
* 0 00000218 00000000 UNINITIALIZED
 
.stack 0 000003b0 00000050 UNINITIALIZED
000003b0 00000002 rts430_eabi.lib : boot.obj (.stack)
000003b2 0000004e --HOLE--
 
.text 0 0000c000 00001cf0
0000c000 00000268 vs1053.obj (.text:VS1053PlayFile)
0000c268 00000234 main.obj (.text:main)
0000c49c 00000216 pff.obj (.text:pf_mount)
0000c6b2 000001d2 pff.obj (.text:follow_path$1)
0000c884 0000011e vs1053.obj (.text:VSInitSoftware)
0000c9a2 0000011a pff.obj (.text:pf_read)
0000cabc 00000116 rts430_eabi.lib : _printfi_min.obj (.text:_setfield)
0000cbd2 00000112 pff.obj (.text:pf_readdir)
0000cce4 00000110 pff.obj (.text:get_fat$1)
0000cdf4 000000d2 mmc.obj (.text:mmcInit)
0000cec6 000000ca rts430_eabi.lib : _printfi_min.obj (.text:__TI_printfi_minimal)
0000cf90 000000ca diskio.obj (.text:disk_readp)
0000d05a 000000c2 pff.obj (.text:get_fileinfo$1)
0000d11c 000000ba main.obj (.text:Port1_Interrupt)
0000d1d6 000000a0 pff.obj (.text:pf_open)
0000d276 0000008c pff.obj (.text:dir_next$1)
0000d302 00000078 pff.obj (.text:check_fs$1)
0000d37a 00000074 vs1053.obj (.text:WriteSci)
0000d3ee 00000060 pff.obj (.text:pf_opendir)
0000d44e 0000005e rts430_eabi.lib : copy_decompress_rle.obj (.text:__TI_decompress_rle_core)
0000d4ac 0000005e : autoinit.obj (.text:_auto_init)
0000d50a 0000005e mmc.obj (.text:mmcSetBlockLength)
0000d568 0000005c vs1053.obj (.text:ReadSci)
0000d5c4 0000005c rts430_eabi.lib : lsr32.obj (.text:l_lsr_const)
0000d620 00000058 : div32u.obj (.text)
0000d678 00000056 : _printfi_min.obj (.text:_ltostr)
0000d6ce 00000056 : snprintf.obj (.text:snprintf)
0000d724 0000004e : _printfi_min.obj (.text:_pproc_diouxp)
0000d772 0000004c : lsr16.obj (.text)
0000d7be 0000004a main.obj (.text:directory_info)
0000d808 00000048 mmc.obj (.text:mmcSendCmd)
0000d850 00000046 rts430_eabi.lib : _printfi_min.obj (.text:_pproc_str)
0000d896 00000042 adxl345.obj (.text:Read16SPI)
0000d8d8 00000042 adxl345.obj (.text:WriteSPI)
0000d91a 00000042 pff.obj (.text:dir_rewind$1)
0000d95c 0000003e rts430_eabi.lib : lsl32.obj (.text:l_lsl_const)
0000d99a 0000003a adxl345.obj (.text:ReadSPI)
0000d9d4 00000036 rts430_eabi.lib : snprintf.obj (.text:_outs$13)
0000da0a 00000034 mmc.obj (.text:mmcGetResponse)
0000da3e 0000002e rts430_eabi.lib : _printfi_min.obj (.text:_getarg_diouxp)
0000da6c 0000002e hal_SPI.obj (.text:spiReadFrame)
0000da9a 0000002e hal_SPI.obj (.text:spiSendFrame)
0000dac8 0000002c pff.obj (.text:clust2sect$1)
0000daf4 0000002c rts430_eabi.lib : div16s.obj (.text)
0000db20 0000002c : lsl16.obj (.text)
0000db4c 00000026 : mult32.obj (.text)
0000db72 00000024 vs1053.obj (.text:WriteSdi)
0000db96 00000024 mmc.obj (.text:mmcGetXXResponse)
0000dbba 00000022 rts430_eabi.lib : mult1632.obj (.text:__mpyul)
0000dbdc 0000001e : snprintf.obj (.text:_outc$13)
0000dbfa 0000001c : memccpy.obj (.text:memccpy)
0000dc16 0000001a : boot.obj (.text:_c_int00_noexit)
0000dc30 00000018 : _printfi_min.obj (.text:_div)
0000dc48 00000016 : div16u.obj (.text)
0000dc5e 00000014 : copy_zero_init.obj (.text:decompress:ZI:__TI_zero_init)
0000dc72 00000014 : memset.obj (.text:memset)
0000dc86 00000014 : mult16.obj (.text)
0000dc9a 00000012 : copy_decompress_none.obj (.text:decompress:none:__TI_decompress_none)
0000dcac 00000010 : memcpy.obj (.text:memcpy)
0000dcbc 00000010 : epilog.obj (.text)
0000dccc 0000000e : strlen.obj (.text:strlen)
0000dcda 00000006 : isr_trap.obj (.text:__TI_ISR_TRAP)
0000dce0 00000006 : copy_decompress_rle.obj (.text:decompress:rle24:__TI_decompress_rle24)
0000dce6 00000004 : pre_init.obj (.text:_system_pre_init)
0000dcea 00000004 : exit.obj (.text:abort)
0000dcee 00000002 : _lock.obj (.text:_nop)
 
.const 0 0000dcf0 000000e8
0000dcf0 00000064 <whole-program> (.const:.string)
0000dd54 00000050 vs1053.obj (.const:plugin)
0000dda4 00000020 vs1053.obj (.const:chipNumber)
0000ddc4 00000014 rts430_eabi.lib : _printfi_min.obj (.const:.string)
 
.cinit 0 0000ddd8 0000001e
0000ddd8 0000000c (.cinit..data.load) [load image]
0000dde4 00000006 (__TI_handler_table)
0000ddea 00000004 (.cinit..bss.load) [load image, compression = zero_init]
0000ddee 00000008 (__TI_cinit_table)
 
PORT1 0 0000ffe4 00000002
0000ffe4 00000002 <whole-program> (.int02)
 
PORT2 0 0000ffe6 00000002
0000ffe6 00000002 rts430_eabi.lib : int03.obj (.int03)
 
ADC10 0 0000ffea 00000002
0000ffea 00000002 rts430_eabi.lib : int05.obj (.int05)
 
USCIAB0TX
* 0 0000ffec 00000002
0000ffec 00000002 rts430_eabi.lib : int06.obj (.int06)
 
USCIAB0RX
* 0 0000ffee 00000002
0000ffee 00000002 rts430_eabi.lib : int07.obj (.int07)
 
TIMER0_A1
* 0 0000fff0 00000002
0000fff0 00000002 rts430_eabi.lib : int08.obj (.int08)
 
TIMER0_A0
* 0 0000fff2 00000002
0000fff2 00000002 rts430_eabi.lib : int09.obj (.int09)
 
WDT 0 0000fff4 00000002
0000fff4 00000002 rts430_eabi.lib : int10.obj (.int10)
 
COMPARATORA
* 0 0000fff6 00000002
0000fff6 00000002 rts430_eabi.lib : int11.obj (.int11)
 
TIMER1_A1
* 0 0000fff8 00000002
0000fff8 00000002 rts430_eabi.lib : int12.obj (.int12)
 
TIMER1_A0
* 0 0000fffa 00000002
0000fffa 00000002 rts430_eabi.lib : int13.obj (.int13)
 
NMI 0 0000fffc 00000002
0000fffc 00000002 rts430_eabi.lib : int14.obj (.int14)
 
.reset 0 0000fffe 00000002
0000fffe 00000002 rts430_eabi.lib : boot.obj (.reset)
 
 
LINKER GENERATED COPY TABLES
 
__TI_cinit_table @ 0000ddee records: 2, size/record: 4, table size: 8
.data: load addr=0000ddd8, load size=0000000c bytes, run addr=00000218, run size=00000008 bytes, compression=copy
.bss: load addr=0000ddea, load size=00000004 bytes, run addr=00000200, run size=00000018 bytes, compression=zero_init
 
 
LINKER GENERATED HANDLER TABLE
 
__TI_handler_table @ 0000dde4 records: 3, size/record: 2, table size: 6
index: 0, handler: __TI_zero_init
index: 1, handler: __TI_decompress_rle24
index: 2, handler: __TI_decompress_none
 
 
GLOBAL SYMBOLS: SORTED ALPHABETICALLY BY Name
 
address name
-------- ----
0000004a ADC10AE0
000001b0 ADC10CTL0
000001b2 ADC10CTL1
00000048 ADC10DTC0
00000049 ADC10DTC1
000001b4 ADC10MEM
000001bc ADC10SA
00000057 BCSCTL1
00000058 BCSCTL2
00000053 BCSCTL3
0000dcea C$$EXIT
00000059 CACTL1
0000005a CACTL2
000010fb CALBC1_12MHZ
000010f9 CALBC1_16MHZ
000010ff CALBC1_1MHZ
000010fd CALBC1_8MHZ
000010fa CALDCO_12MHZ
000010f8 CALDCO_16MHZ
000010fe CALDCO_1MHZ
000010fc CALDCO_8MHZ
0000005b CAPD
00000056 DCOCTL
00000128 FCTL1
0000012a FCTL2
0000012c FCTL3
00000000 IE1
00000001 IE2
00000002 IFG1
00000003 IFG2
00000022 P1DIR
00000025 P1IE
00000024 P1IES
00000023 P1IFG
00000020 P1IN
00000021 P1OUT
00000027 P1REN
00000026 P1SEL
00000041 P1SEL2
0000002a P2DIR
0000002d P2IE
0000002c P2IES
0000002b P2IFG
00000028 P2IN
00000029 P2OUT
0000002f P2REN
0000002e P2SEL
00000042 P2SEL2
0000001a P3DIR
00000018 P3IN
00000019 P3OUT
00000010 P3REN
0000001b P3SEL
00000043 P3SEL2
0000d11c Port1_Interrupt
0000d896 Read16SPI
0000d99a ReadSPI
0000d568 ReadSci
00000172 TA0CCR0
00000174 TA0CCR1
00000176 TA0CCR2
00000162 TA0CCTL0
00000164 TA0CCTL1
00000166 TA0CCTL2
00000160 TA0CTL
0000012e TA0IV
00000170 TA0R
00000192 TA1CCR0
00000194 TA1CCR1
00000196 TA1CCR2
00000182 TA1CCTL0
00000184 TA1CCTL1
00000186 TA1CCTL2
00000180 TA1CTL
0000011e TA1IV
00000190 TA1R
0000005d UCA0ABCTL
00000062 UCA0BR0
00000063 UCA0BR1
00000060 UCA0CTL0
00000061 UCA0CTL1
0000005f UCA0IRRCTL
0000005e UCA0IRTCTL
00000064 UCA0MCTL
00000066 UCA0RXBUF
00000065 UCA0STAT
00000067 UCA0TXBUF
0000006a UCB0BR0
0000006b UCB0BR1
00000068 UCB0CTL0
00000069 UCB0CTL1
0000006c UCB0I2CIE
00000118 UCB0I2COA
0000011a UCB0I2CSA
0000006e UCB0RXBUF
0000006d UCB0STAT
0000006f UCB0TXBUF
0000c000 VS1053PlayFile
0000c884 VSInitSoftware
00000120 WDTCTL
0000d8d8 WriteSPI
0000d37a WriteSci
0000db72 WriteSdi
00000400 __STACK_END
00000050 __STACK_SIZE
0000ddee __TI_CINIT_Base
0000ddf6 __TI_CINIT_Limit
0000dde4 __TI_Handler_Table_Base
0000ddea __TI_Handler_Table_Limit
UNDEFED __TI_INITARRAY_Base
UNDEFED __TI_INITARRAY_Limit
0000dcda __TI_ISR_TRAP
00000001 __TI_args_main
0000dc9a __TI_decompress_none
0000dce0 __TI_decompress_rle24
00000001 __TI_exit
0000ffe4 __TI_int02
0000ffe6 __TI_int03
0000ffea __TI_int05
0000ffec __TI_int06
0000ffee __TI_int07
0000fff0 __TI_int08
0000fff2 __TI_int09
0000fff4 __TI_int10
0000fff6 __TI_int11
0000fff8 __TI_int12
0000fffa __TI_int13
0000fffc __TI_int14
0000cec6 __TI_printfi_minimal
0000dc5e __TI_zero_init
ffffffff __binit__
ffffffff __c_args__
0000daf4 __mspabi_divi
0000dc48 __mspabi_divu
0000d620 __mspabi_divul
0000dcc8 __mspabi_func_epilog_1
0000dcc6 __mspabi_func_epilog_2
0000dcc4 __mspabi_func_epilog_3
0000dcc2 __mspabi_func_epilog_4
0000dcc0 __mspabi_func_epilog_5
0000dcbe __mspabi_func_epilog_6
0000dcbc __mspabi_func_epilog_7
0000dc86 __mspabi_mpyi
0000db4c __mspabi_mpyl
0000dbba __mspabi_mpyul
0000daf4 __mspabi_remi
0000dc48 __mspabi_remu
0000d620 __mspabi_remul
0000db20 __mspabi_slli
0000db48 __mspabi_slli_1
0000db36 __mspabi_slli_10
0000db34 __mspabi_slli_11
0000db32 __mspabi_slli_12
0000db30 __mspabi_slli_13
0000db2e __mspabi_slli_14
0000db2c __mspabi_slli_15
0000db46 __mspabi_slli_2
0000db44 __mspabi_slli_3
0000db42 __mspabi_slli_4
0000db40 __mspabi_slli_5
0000db3e __mspabi_slli_6
0000db3c __mspabi_slli_7
0000db3a __mspabi_slli_8
0000db38 __mspabi_slli_9
0000d994 __mspabi_slll_1
0000d970 __mspabi_slll_10
0000d96c __mspabi_slll_11
0000d968 __mspabi_slll_12
0000d964 __mspabi_slll_13
0000d960 __mspabi_slll_14
0000d95c __mspabi_slll_15
0000d990 __mspabi_slll_2
0000d98c __mspabi_slll_3
0000d988 __mspabi_slll_4
0000d984 __mspabi_slll_5
0000d980 __mspabi_slll_6
0000d97c __mspabi_slll_7
0000d978 __mspabi_slll_8
0000d974 __mspabi_slll_9
0000d772 __mspabi_srli
0000d7b8 __mspabi_srli_1
0000d794 __mspabi_srli_10
0000d790 __mspabi_srli_11
0000d78c __mspabi_srli_12
0000d788 __mspabi_srli_13
0000d784 __mspabi_srli_14
0000d780 __mspabi_srli_15
0000d7b4 __mspabi_srli_2
0000d7b0 __mspabi_srli_3
0000d7ac __mspabi_srli_4
0000d7a8 __mspabi_srli_5
0000d7a4 __mspabi_srli_6
0000d7a0 __mspabi_srli_7
0000d79c __mspabi_srli_8
0000d798 __mspabi_srli_9
0000d618 __mspabi_srll_1
0000d5e2 __mspabi_srll_10
0000d5dc __mspabi_srll_11
0000d5d6 __mspabi_srll_12
0000d5d0 __mspabi_srll_13
0000d5ca __mspabi_srll_14
0000d5c4 __mspabi_srll_15
0000d612 __mspabi_srll_2
0000d60c __mspabi_srll_3
0000d606 __mspabi_srll_4
0000d600 __mspabi_srll_5
0000d5fa __mspabi_srll_6
0000d5f4 __mspabi_srll_7
0000d5ee __mspabi_srll_8
0000d5e8 __mspabi_srll_9
0000d4ac _auto_init
0000dc16 _c_int00
0000dc16 _c_int00_noexit
00000218 _lock
0000dcee _nop
0000fffe _reset_vector
000003b0 _stack
0000dce6 _system_pre_init
0000021a _unlock
0000dcea abort
ffffffff binit
0000dda4 chipNumber
0000d7be directory_info
0000cf90 disk_readp
0000c268 main
0000dbfa memccpy
0000dcac memcpy
0000dc72 memset
0000da0a mmcGetResponse
0000db96 mmcGetXXResponse
0000cdf4 mmcInit
0000d808 mmcSendCmd
0000d50a mmcSetBlockLength
0000c49c pf_mount
0000d1d6 pf_open
0000d3ee pf_opendir
0000c9a2 pf_read
0000cbd2 pf_readdir
00000216 playerState
0000dd54 plugin
0000d6ce snprintf
0000da6c spiReadFrame
0000da9a spiSendFrame
0000dccc strlen
 
 
GLOBAL SYMBOLS: SORTED BY Symbol Address
 
address name
-------- ----
00000000 IE1
00000001 IE2
00000001 __TI_args_main
00000001 __TI_exit
00000002 IFG1
00000003 IFG2
00000010 P3REN
00000018 P3IN
00000019 P3OUT
0000001a P3DIR
0000001b P3SEL
00000020 P1IN
00000021 P1OUT
00000022 P1DIR
00000023 P1IFG
00000024 P1IES
00000025 P1IE
00000026 P1SEL
00000027 P1REN
00000028 P2IN
00000029 P2OUT
0000002a P2DIR
0000002b P2IFG
0000002c P2IES
0000002d P2IE
0000002e P2SEL
0000002f P2REN
00000041 P1SEL2
00000042 P2SEL2
00000043 P3SEL2
00000048 ADC10DTC0
00000049 ADC10DTC1
0000004a ADC10AE0
00000050 __STACK_SIZE
00000053 BCSCTL3
00000056 DCOCTL
00000057 BCSCTL1
00000058 BCSCTL2
00000059 CACTL1
0000005a CACTL2
0000005b CAPD
0000005d UCA0ABCTL
0000005e UCA0IRTCTL
0000005f UCA0IRRCTL
00000060 UCA0CTL0
00000061 UCA0CTL1
00000062 UCA0BR0
00000063 UCA0BR1
00000064 UCA0MCTL
00000065 UCA0STAT
00000066 UCA0RXBUF
00000067 UCA0TXBUF
00000068 UCB0CTL0
00000069 UCB0CTL1
0000006a UCB0BR0
0000006b UCB0BR1
0000006c UCB0I2CIE
0000006d UCB0STAT
0000006e UCB0RXBUF
0000006f UCB0TXBUF
00000118 UCB0I2COA
0000011a UCB0I2CSA
0000011e TA1IV
00000120 WDTCTL
00000128 FCTL1
0000012a FCTL2
0000012c FCTL3
0000012e TA0IV
00000160 TA0CTL
00000162 TA0CCTL0
00000164 TA0CCTL1
00000166 TA0CCTL2
00000170 TA0R
00000172 TA0CCR0
00000174 TA0CCR1
00000176 TA0CCR2
00000180 TA1CTL
00000182 TA1CCTL0
00000184 TA1CCTL1
00000186 TA1CCTL2
00000190 TA1R
00000192 TA1CCR0
00000194 TA1CCR1
00000196 TA1CCR2
000001b0 ADC10CTL0
000001b2 ADC10CTL1
000001b4 ADC10MEM
000001bc ADC10SA
00000216 playerState
00000218 _lock
0000021a _unlock
000003b0 _stack
00000400 __STACK_END
000010f8 CALDCO_16MHZ
000010f9 CALBC1_16MHZ
000010fa CALDCO_12MHZ
000010fb CALBC1_12MHZ
000010fc CALDCO_8MHZ
000010fd CALBC1_8MHZ
000010fe CALDCO_1MHZ
000010ff CALBC1_1MHZ
0000c000 VS1053PlayFile
0000c268 main
0000c49c pf_mount
0000c884 VSInitSoftware
0000c9a2 pf_read
0000cbd2 pf_readdir
0000cdf4 mmcInit
0000cec6 __TI_printfi_minimal
0000cf90 disk_readp
0000d11c Port1_Interrupt
0000d1d6 pf_open
0000d37a WriteSci
0000d3ee pf_opendir
0000d4ac _auto_init
0000d50a mmcSetBlockLength
0000d568 ReadSci
0000d5c4 __mspabi_srll_15
0000d5ca __mspabi_srll_14
0000d5d0 __mspabi_srll_13
0000d5d6 __mspabi_srll_12
0000d5dc __mspabi_srll_11
0000d5e2 __mspabi_srll_10
0000d5e8 __mspabi_srll_9
0000d5ee __mspabi_srll_8
0000d5f4 __mspabi_srll_7
0000d5fa __mspabi_srll_6
0000d600 __mspabi_srll_5
0000d606 __mspabi_srll_4
0000d60c __mspabi_srll_3
0000d612 __mspabi_srll_2
0000d618 __mspabi_srll_1
0000d620 __mspabi_divul
0000d620 __mspabi_remul
0000d6ce snprintf
0000d772 __mspabi_srli
0000d780 __mspabi_srli_15
0000d784 __mspabi_srli_14
0000d788 __mspabi_srli_13
0000d78c __mspabi_srli_12
0000d790 __mspabi_srli_11
0000d794 __mspabi_srli_10
0000d798 __mspabi_srli_9
0000d79c __mspabi_srli_8
0000d7a0 __mspabi_srli_7
0000d7a4 __mspabi_srli_6
0000d7a8 __mspabi_srli_5
0000d7ac __mspabi_srli_4
0000d7b0 __mspabi_srli_3
0000d7b4 __mspabi_srli_2
0000d7b8 __mspabi_srli_1
0000d7be directory_info
0000d808 mmcSendCmd
0000d896 Read16SPI
0000d8d8 WriteSPI
0000d95c __mspabi_slll_15
0000d960 __mspabi_slll_14
0000d964 __mspabi_slll_13
0000d968 __mspabi_slll_12
0000d96c __mspabi_slll_11
0000d970 __mspabi_slll_10
0000d974 __mspabi_slll_9
0000d978 __mspabi_slll_8
0000d97c __mspabi_slll_7
0000d980 __mspabi_slll_6
0000d984 __mspabi_slll_5
0000d988 __mspabi_slll_4
0000d98c __mspabi_slll_3
0000d990 __mspabi_slll_2
0000d994 __mspabi_slll_1
0000d99a ReadSPI
0000da0a mmcGetResponse
0000da6c spiReadFrame
0000da9a spiSendFrame
0000daf4 __mspabi_divi
0000daf4 __mspabi_remi
0000db20 __mspabi_slli
0000db2c __mspabi_slli_15
0000db2e __mspabi_slli_14
0000db30 __mspabi_slli_13
0000db32 __mspabi_slli_12
0000db34 __mspabi_slli_11
0000db36 __mspabi_slli_10
0000db38 __mspabi_slli_9
0000db3a __mspabi_slli_8
0000db3c __mspabi_slli_7
0000db3e __mspabi_slli_6
0000db40 __mspabi_slli_5
0000db42 __mspabi_slli_4
0000db44 __mspabi_slli_3
0000db46 __mspabi_slli_2
0000db48 __mspabi_slli_1
0000db4c __mspabi_mpyl
0000db72 WriteSdi
0000db96 mmcGetXXResponse
0000dbba __mspabi_mpyul
0000dbfa memccpy
0000dc16 _c_int00
0000dc16 _c_int00_noexit
0000dc48 __mspabi_divu
0000dc48 __mspabi_remu
0000dc5e __TI_zero_init
0000dc72 memset
0000dc86 __mspabi_mpyi
0000dc9a __TI_decompress_none
0000dcac memcpy
0000dcbc __mspabi_func_epilog_7
0000dcbe __mspabi_func_epilog_6
0000dcc0 __mspabi_func_epilog_5
0000dcc2 __mspabi_func_epilog_4
0000dcc4 __mspabi_func_epilog_3
0000dcc6 __mspabi_func_epilog_2
0000dcc8 __mspabi_func_epilog_1
0000dccc strlen
0000dcda __TI_ISR_TRAP
0000dce0 __TI_decompress_rle24
0000dce6 _system_pre_init
0000dcea C$$EXIT
0000dcea abort
0000dcee _nop
0000dd54 plugin
0000dda4 chipNumber
0000dde4 __TI_Handler_Table_Base
0000ddea __TI_Handler_Table_Limit
0000ddee __TI_CINIT_Base
0000ddf6 __TI_CINIT_Limit
0000ffe4 __TI_int02
0000ffe6 __TI_int03
0000ffea __TI_int05
0000ffec __TI_int06
0000ffee __TI_int07
0000fff0 __TI_int08
0000fff2 __TI_int09
0000fff4 __TI_int10
0000fff6 __TI_int11
0000fff8 __TI_int12
0000fffa __TI_int13
0000fffc __TI_int14
0000fffe _reset_vector
ffffffff __binit__
ffffffff __c_args__
ffffffff binit
UNDEFED __TI_INITARRAY_Base
UNDEFED __TI_INITARRAY_Limit
 
[244 symbols]
/MSP430/MSP430_Audio_Cube/Release/MSP430_Audio_Project.out
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Release/MSP430_Audio_Project.out
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Release/MSP430_Audio_Project_linkInfo.xml
0,0 → 1,6443
<?xml version="1.0"?>
<link_info>
<banner>MSP430 Linker PC v4.2.1</banner>
<copyright>Copyright (c) 2003-2013 Texas Instruments Incorporated</copyright>
<link_time>0x52d88aca</link_time>
<link_errors>0x0</link_errors>
<output_file>MSP430_Audio_Project.out</output_file>
<entry_point>
<name>_c_int00</name>
<address>0xdc16</address>
</entry_point>
<input_file_list>
<input_file id="fl-22">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>boot.obj</name>
</input_file>
<input_file id="fl-26">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>div16s.obj</name>
</input_file>
<input_file id="fl-27">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>div16u.obj</name>
</input_file>
<input_file id="fl-28">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>div32u.obj</name>
</input_file>
<input_file id="fl-29">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>epilog.obj</name>
</input_file>
<input_file id="fl-2a">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>exit.obj</name>
</input_file>
<input_file id="fl-2b">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int03.obj</name>
</input_file>
<input_file id="fl-2c">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int05.obj</name>
</input_file>
<input_file id="fl-2d">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int06.obj</name>
</input_file>
<input_file id="fl-2e">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int07.obj</name>
</input_file>
<input_file id="fl-2f">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int08.obj</name>
</input_file>
<input_file id="fl-30">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int09.obj</name>
</input_file>
<input_file id="fl-31">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int10.obj</name>
</input_file>
<input_file id="fl-32">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int11.obj</name>
</input_file>
<input_file id="fl-33">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int12.obj</name>
</input_file>
<input_file id="fl-34">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int13.obj</name>
</input_file>
<input_file id="fl-35">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>int14.obj</name>
</input_file>
<input_file id="fl-36">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>isr_trap.obj</name>
</input_file>
<input_file id="fl-37">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>lsl16.obj</name>
</input_file>
<input_file id="fl-38">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>lsl32.obj</name>
</input_file>
<input_file id="fl-39">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>lsr16.obj</name>
</input_file>
<input_file id="fl-3a">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>lsr32.obj</name>
</input_file>
<input_file id="fl-3c">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>mult1632.obj</name>
</input_file>
<input_file id="fl-3d">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>mult32.obj</name>
</input_file>
<input_file id="fl-43">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>args_main.obj</name>
</input_file>
<input_file id="fl-44">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>autoinit.obj</name>
</input_file>
<input_file id="fl-47">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>mult16.obj</name>
</input_file>
<input_file id="fl-49">
<path>C:\Users\Kevin\AppData\Local\Temp\</path>
<kind>object</kind>
<file>019082</file>
<name>019082</name>
</input_file>
<input_file id="fl-4a">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>_printfi_min.obj</name>
</input_file>
<input_file id="fl-4b">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>copy_decompress_none.obj</name>
</input_file>
<input_file id="fl-4c">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>copy_decompress_rle.obj</name>
</input_file>
<input_file id="fl-4d">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>copy_zero_init.obj</name>
</input_file>
<input_file id="fl-4e">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>memccpy.obj</name>
</input_file>
<input_file id="fl-4f">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>memset.obj</name>
</input_file>
<input_file id="fl-50">
<path>C:\Program Files (x86)\TI\ccsv5\tools\compiler\msp430_4.2.1\lib\</path>
<kind>archive</kind>
<file>rts430_eabi.lib</file>
<name>strlen.obj</name>
</input_file>
</input_file_list>
<object_component_list>
<object_component id="oc-4e">
<name>.stack</name>
<uninitialized>true</uninitialized>
<run_address>0x3b0</run_address>
<size>0x2</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-28c">
<name>.stack</name>
<uninitialized>true</uninitialized>
<run_address>0x3b0</run_address>
<size>0x0</size>
</object_component>
<object_component id="oc-b0">
<name>.text:VS1053PlayFile</name>
<load_address>0xc000</load_address>
<run_address>0xc000</run_address>
<size>0x268</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-64">
<name>.text:main</name>
<load_address>0xc268</load_address>
<run_address>0xc268</run_address>
<size>0x234</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-cd">
<name>.text:pf_mount</name>
<load_address>0xc49c</load_address>
<run_address>0xc49c</run_address>
<size>0x216</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1a5">
<name>.text:follow_path$1</name>
<load_address>0xc6b2</load_address>
<run_address>0xc6b2</run_address>
<size>0x1d2</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-95">
<name>.text:VSInitSoftware</name>
<load_address>0xc884</load_address>
<run_address>0xc884</run_address>
<size>0x11e</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-13f">
<name>.text:pf_read</name>
<load_address>0xc9a2</load_address>
<run_address>0xc9a2</run_address>
<size>0x11a</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-193">
<name>.text:_setfield</name>
<load_address>0xcabc</load_address>
<run_address>0xcabc</run_address>
<size>0x116</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-17d">
<name>.text:pf_readdir</name>
<load_address>0xcbd2</load_address>
<run_address>0xcbd2</run_address>
<size>0x112</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1b0">
<name>.text:get_fat$1</name>
<load_address>0xcce4</load_address>
<run_address>0xcce4</run_address>
<size>0x110</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-15f">
<name>.text:mmcInit</name>
<load_address>0xcdf4</load_address>
<run_address>0xcdf4</run_address>
<size>0xd2</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-133">
<name>.text:__TI_printfi_minimal</name>
<load_address>0xcec6</load_address>
<run_address>0xcec6</run_address>
<size>0xca</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-169">
<name>.text:disk_readp</name>
<load_address>0xcf90</load_address>
<run_address>0xcf90</run_address>
<size>0xca</size>
<input_file_ref idref="fl-7"/>
</object_component>
<object_component id="oc-1f4">
<name>.text:get_fileinfo$1</name>
<load_address>0xd05a</load_address>
<run_address>0xd05a</run_address>
<size>0xc2</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-51">
<name>.text:Port1_Interrupt</name>
<load_address>0xd11c</load_address>
<run_address>0xd11c</run_address>
<size>0xba</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-13a">
<name>.text:pf_open</name>
<load_address>0xd1d6</load_address>
<run_address>0xd1d6</run_address>
<size>0xa0</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1ef">
<name>.text:dir_next$1</name>
<load_address>0xd276</load_address>
<run_address>0xd276</run_address>
<size>0x8c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-164">
<name>.text:check_fs$1</name>
<load_address>0xd302</load_address>
<run_address>0xd302</run_address>
<size>0x78</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-f6">
<name>.text:WriteSci</name>
<load_address>0xd37a</load_address>
<run_address>0xd37a</run_address>
<size>0x74</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-176">
<name>.text:pf_opendir</name>
<load_address>0xd3ee</load_address>
<run_address>0xd3ee</run_address>
<size>0x60</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-82">
<name>.text:__TI_decompress_rle_core</name>
<load_address>0xd44e</load_address>
<run_address>0xd44e</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-5f">
<name>.text:_auto_init</name>
<load_address>0xd4ac</load_address>
<run_address>0xd4ac</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-1ce">
<name>.text:mmcSetBlockLength</name>
<load_address>0xd50a</load_address>
<run_address>0xd50a</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-f1">
<name>.text:ReadSci</name>
<load_address>0xd568</load_address>
<run_address>0xd568</run_address>
<size>0x5c</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1ac">
<name>.text:l_lsr_const</name>
<load_address>0xd5c4</load_address>
<run_address>0xd5c4</run_address>
<size>0x5c</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-16e">
<name>.text</name>
<load_address>0xd620</load_address>
<run_address>0xd620</run_address>
<size>0x58</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-239">
<name>.text:_ltostr</name>
<load_address>0xd678</load_address>
<run_address>0xd678</run_address>
<size>0x56</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-aa">
<name>.text:snprintf</name>
<load_address>0xd6ce</load_address>
<run_address>0xd6ce</run_address>
<size>0x56</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-202">
<name>.text:_pproc_diouxp</name>
<load_address>0xd724</load_address>
<run_address>0xd724</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-fb">
<name>.text</name>
<load_address>0xd772</load_address>
<run_address>0xd772</run_address>
<size>0x4c</size>
<input_file_ref idref="fl-39"/>
</object_component>
<object_component id="oc-d2">
<name>.text:directory_info</name>
<load_address>0xd7be</load_address>
<run_address>0xd7be</run_address>
<size>0x4a</size>
<input_file_ref idref="fl-5"/>
</object_component>
<object_component id="oc-1c2">
<name>.text:mmcSendCmd</name>
<load_address>0xd808</load_address>
<run_address>0xd808</run_address>
<size>0x48</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-198">
<name>.text:_pproc_str</name>
<load_address>0xd850</load_address>
<run_address>0xd850</run_address>
<size>0x46</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-c8">
<name>.text:Read16SPI</name>
<load_address>0xd896</load_address>
<run_address>0xd896</run_address>
<size>0x42</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-c3">
<name>.text:WriteSPI</name>
<load_address>0xd8d8</load_address>
<run_address>0xd8d8</run_address>
<size>0x42</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-1e4">
<name>.text:dir_rewind$1</name>
<load_address>0xd91a</load_address>
<run_address>0xd91a</run_address>
<size>0x42</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-1d3">
<name>.text:l_lsl_const</name>
<load_address>0xd95c</load_address>
<run_address>0xd95c</run_address>
<size>0x3e</size>
<input_file_ref idref="fl-38"/>
</object_component>
<object_component id="oc-b5">
<name>.text:ReadSPI</name>
<load_address>0xd99a</load_address>
<run_address>0xd99a</run_address>
<size>0x3a</size>
<input_file_ref idref="fl-8"/>
</object_component>
<object_component id="oc-129">
<name>.text:_outs$13</name>
<load_address>0xd9d4</load_address>
<run_address>0xd9d4</run_address>
<size>0x36</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-1c7">
<name>.text:mmcGetResponse</name>
<load_address>0xda0a</load_address>
<run_address>0xda0a</run_address>
<size>0x34</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-234">
<name>.text:_getarg_diouxp</name>
<load_address>0xda3e</load_address>
<run_address>0xda3e</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-14e">
<name>.text:spiReadFrame</name>
<load_address>0xda6c</load_address>
<run_address>0xda6c</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1bb">
<name>.text:spiSendFrame</name>
<load_address>0xda9a</load_address>
<run_address>0xda9a</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-6"/>
</object_component>
<object_component id="oc-1b5">
<name>.text:clust2sect$1</name>
<load_address>0xdac8</load_address>
<run_address>0xdac8</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-3"/>
</object_component>
<object_component id="oc-a4">
<name>.text</name>
<load_address>0xdaf4</load_address>
<run_address>0xdaf4</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-1eb">
<name>.text</name>
<load_address>0xdb20</load_address>
<run_address>0xdb20</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-37"/>
</object_component>
<object_component id="oc-9e">
<name>.text</name>
<load_address>0xdb4c</load_address>
<run_address>0xdb4c</run_address>
<size>0x26</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-144">
<name>.text:WriteSdi</name>
<load_address>0xdb72</load_address>
<run_address>0xdb72</run_address>
<size>0x24</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-1d7">
<name>.text:mmcGetXXResponse</name>
<load_address>0xdb96</load_address>
<run_address>0xdb96</run_address>
<size>0x24</size>
<input_file_ref idref="fl-4"/>
</object_component>
<object_component id="oc-21f">
<name>.text:__mpyul</name>
<load_address>0xdbba</load_address>
<run_address>0xdbba</run_address>
<size>0x22</size>
<input_file_ref idref="fl-3c"/>
</object_component>
<object_component id="oc-12e">
<name>.text:_outc$13</name>
<load_address>0xdbdc</load_address>
<run_address>0xdbdc</run_address>
<size>0x1e</size>
<input_file_ref idref="fl-40"/>
</object_component>
<object_component id="oc-207">
<name>.text:memccpy</name>
<load_address>0xdbfa</load_address>
<run_address>0xdbfa</run_address>
<size>0x1c</size>
<input_file_ref idref="fl-4e"/>
</object_component>
<object_component id="oc-3a">
<name>.text:_c_int00_noexit</name>
<load_address>0xdc16</load_address>
<run_address>0xdc16</run_address>
<size>0x1a</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-245">
<name>.text:_div</name>
<load_address>0xdc30</load_address>
<run_address>0xdc30</run_address>
<size>0x18</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-124">
<name>.text</name>
<load_address>0xdc48</load_address>
<run_address>0xdc48</run_address>
<size>0x16</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-44">
<name>.text:decompress:ZI:__TI_zero_init</name>
<load_address>0xdc5e</load_address>
<run_address>0xdc5e</run_address>
<size>0x14</size>
<input_file_ref idref="fl-4d"/>
</object_component>
<object_component id="oc-1fc">
<name>.text:memset</name>
<load_address>0xdc72</load_address>
<run_address>0xdc72</run_address>
<size>0x14</size>
<input_file_ref idref="fl-4f"/>
</object_component>
<object_component id="oc-24a">
<name>.text</name>
<load_address>0xdc86</load_address>
<run_address>0xdc86</run_address>
<size>0x14</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-3f">
<name>.text:decompress:none:__TI_decompress_none</name>
<load_address>0xdc9a</load_address>
<run_address>0xdc9a</run_address>
<size>0x12</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-6f">
<name>.text:memcpy</name>
<load_address>0xdcac</load_address>
<run_address>0xdcac</run_address>
<size>0x10</size>
<input_file_ref idref="fl-3b"/>
</object_component>
<object_component id="oc-bd">
<name>.text</name>
<load_address>0xdcbc</load_address>
<run_address>0xdcbc</run_address>
<size>0x10</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-18e">
<name>.text:strlen</name>
<load_address>0xdccc</load_address>
<run_address>0xdccc</run_address>
<size>0xe</size>
<input_file_ref idref="fl-50"/>
</object_component>
<object_component id="oc-56">
<name>.text:__TI_ISR_TRAP</name>
<load_address>0xdcda</load_address>
<run_address>0xdcda</run_address>
<size>0x6</size>
<input_file_ref idref="fl-36"/>
</object_component>
<object_component id="oc-49">
<name>.text:decompress:rle24:__TI_decompress_rle24</name>
<load_address>0xdce0</load_address>
<run_address>0xdce0</run_address>
<size>0x6</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-5a">
<name>.text:_system_pre_init</name>
<load_address>0xdce6</load_address>
<run_address>0xdce6</run_address>
<size>0x4</size>
<input_file_ref idref="fl-3e"/>
</object_component>
<object_component id="oc-69">
<name>.text:abort</name>
<load_address>0xdcea</load_address>
<run_address>0xdcea</run_address>
<size>0x4</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-104">
<name>.text:_nop</name>
<load_address>0xdcee</load_address>
<run_address>0xdcee</run_address>
<size>0x2</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-28b">
<name>.cinit..data.load</name>
<load_address>0xddd8</load_address>
<run_address>0xddd8</run_address>
<size>0xc</size>
</object_component>
<object_component id="oc-288">
<name>__TI_handler_table</name>
<load_address>0xdde4</load_address>
<run_address>0xdde4</run_address>
<size>0x6</size>
</object_component>
<object_component id="oc-28a">
<name>.cinit..bss.load</name>
<load_address>0xddea</load_address>
<run_address>0xddea</run_address>
<size>0x4</size>
</object_component>
<object_component id="oc-289">
<name>__TI_cinit_table</name>
<load_address>0xddee</load_address>
<run_address>0xddee</run_address>
<size>0x8</size>
</object_component>
<object_component id="oc-a8">
<name>.const:.string</name>
<load_address>0xdcf0</load_address>
<run_address>0xdcf0</run_address>
<size>0x64</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-101">
<name>.const:plugin</name>
<load_address>0xdd54</load_address>
<run_address>0xdd54</run_address>
<size>0x50</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-ff">
<name>.const:chipNumber</name>
<load_address>0xdda4</load_address>
<run_address>0xdda4</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2"/>
</object_component>
<object_component id="oc-201">
<name>.const:.string</name>
<load_address>0xddc4</load_address>
<run_address>0xddc4</run_address>
<size>0x14</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-2e">
<name>.int02</name>
<load_address>0xffe4</load_address>
<run_address>0xffe4</run_address>
<size>0x2</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-2f">
<name>.int03</name>
<load_address>0xffe6</load_address>
<run_address>0xffe6</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2b"/>
</object_component>
<object_component id="oc-30">
<name>.int05</name>
<load_address>0xffea</load_address>
<run_address>0xffea</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2c"/>
</object_component>
<object_component id="oc-31">
<name>.int06</name>
<load_address>0xffec</load_address>
<run_address>0xffec</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2d"/>
</object_component>
<object_component id="oc-32">
<name>.int07</name>
<load_address>0xffee</load_address>
<run_address>0xffee</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2e"/>
</object_component>
<object_component id="oc-33">
<name>.int08</name>
<load_address>0xfff0</load_address>
<run_address>0xfff0</run_address>
<size>0x2</size>
<input_file_ref idref="fl-2f"/>
</object_component>
<object_component id="oc-34">
<name>.int09</name>
<load_address>0xfff2</load_address>
<run_address>0xfff2</run_address>
<size>0x2</size>
<input_file_ref idref="fl-30"/>
</object_component>
<object_component id="oc-35">
<name>.int10</name>
<load_address>0xfff4</load_address>
<run_address>0xfff4</run_address>
<size>0x2</size>
<input_file_ref idref="fl-31"/>
</object_component>
<object_component id="oc-36">
<name>.int11</name>
<load_address>0xfff6</load_address>
<run_address>0xfff6</run_address>
<size>0x2</size>
<input_file_ref idref="fl-32"/>
</object_component>
<object_component id="oc-37">
<name>.int12</name>
<load_address>0xfff8</load_address>
<run_address>0xfff8</run_address>
<size>0x2</size>
<input_file_ref idref="fl-33"/>
</object_component>
<object_component id="oc-38">
<name>.int13</name>
<load_address>0xfffa</load_address>
<run_address>0xfffa</run_address>
<size>0x2</size>
<input_file_ref idref="fl-34"/>
</object_component>
<object_component id="oc-39">
<name>.int14</name>
<load_address>0xfffc</load_address>
<run_address>0xfffc</run_address>
<size>0x2</size>
<input_file_ref idref="fl-35"/>
</object_component>
<object_component id="oc-50">
<name>.reset</name>
<load_address>0xfffe</load_address>
<run_address>0xfffe</run_address>
<size>0x2</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-22d">
<name>.common:FatFs$1</name>
<uninitialized>true</uninitialized>
<run_address>0x210</run_address>
<size>0x2</size>
</object_component>
<object_component id="oc-17b">
<name>.common:file_count$3</name>
<uninitialized>true</uninitialized>
<run_address>0x214</run_address>
<size>0x2</size>
</object_component>
<object_component id="oc-17c">
<name>.common:dir_count$3</name>
<uninitialized>true</uninitialized>
<run_address>0x212</run_address>
<size>0x2</size>
</object_component>
<object_component id="oc-af">
<name>.common:filename$3</name>
<uninitialized>true</uninitialized>
<run_address>0x200</run_address>
<size>0x10</size>
</object_component>
<object_component id="oc-14c">
<name>.common:playerState</name>
<uninitialized>true</uninitialized>
<run_address>0x216</run_address>
<size>0x2</size>
</object_component>
<object_component id="oc-9a">
<name>.data</name>
<load_address>0x218</load_address>
<run_address>0x218</run_address>
<size>0x4</size>
<input_file_ref idref="fl-41"/>
</object_component>
<object_component id="oc-9c">
<name>.data</name>
<load_address>0x21c</load_address>
<run_address>0x21c</run_address>
<size>0x4</size>
<input_file_ref idref="fl-3f"/>
</object_component>
<object_component id="oc-3b">
<name>.debug_aranges</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-43">
<name>.debug_aranges</name>
<load_address>0x20</load_address>
<run_address>0x20</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-46">
<name>.debug_aranges</name>
<load_address>0x40</load_address>
<run_address>0x40</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4d"/>
</object_component>
<object_component id="oc-4a">
<name>.debug_aranges</name>
<load_address>0x60</load_address>
<run_address>0x60</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-52">
<name>.debug_aranges</name>
<load_address>0x80</load_address>
<run_address>0x80</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-58">
<name>.debug_aranges</name>
<load_address>0xa0</load_address>
<run_address>0xa0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-36"/>
</object_component>
<object_component id="oc-5b">
<name>.debug_aranges</name>
<load_address>0xc0</load_address>
<run_address>0xc0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-63">
<name>.debug_aranges</name>
<load_address>0xe0</load_address>
<run_address>0xe0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-65">
<name>.debug_aranges</name>
<load_address>0x100</load_address>
<run_address>0x100</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-6c">
<name>.debug_aranges</name>
<load_address>0x120</load_address>
<run_address>0x120</run_address>
<size>0x20</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-70">
<name>.debug_aranges</name>
<load_address>0x140</load_address>
<run_address>0x140</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-84">
<name>.debug_aranges</name>
<load_address>0x160</load_address>
<run_address>0x160</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-96">
<name>.debug_aranges</name>
<load_address>0x180</load_address>
<run_address>0x180</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-9f">
<name>.debug_aranges</name>
<load_address>0x1a0</load_address>
<run_address>0x1a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-a6">
<name>.debug_aranges</name>
<load_address>0x1c0</load_address>
<run_address>0x1c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-ab">
<name>.debug_aranges</name>
<load_address>0x1e0</load_address>
<run_address>0x1e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-b1">
<name>.debug_aranges</name>
<load_address>0x200</load_address>
<run_address>0x200</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-b6">
<name>.debug_aranges</name>
<load_address>0x220</load_address>
<run_address>0x220</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-bf">
<name>.debug_aranges</name>
<load_address>0x240</load_address>
<run_address>0x240</run_address>
<size>0x20</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-c4">
<name>.debug_aranges</name>
<load_address>0x260</load_address>
<run_address>0x260</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-c9">
<name>.debug_aranges</name>
<load_address>0x280</load_address>
<run_address>0x280</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-ce">
<name>.debug_aranges</name>
<load_address>0x2a0</load_address>
<run_address>0x2a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-d3">
<name>.debug_aranges</name>
<load_address>0x2c0</load_address>
<run_address>0x2c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-f2">
<name>.debug_aranges</name>
<load_address>0x2e0</load_address>
<run_address>0x2e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-f7">
<name>.debug_aranges</name>
<load_address>0x300</load_address>
<run_address>0x300</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-fc">
<name>.debug_aranges</name>
<load_address>0x320</load_address>
<run_address>0x320</run_address>
<size>0x20</size>
<input_file_ref idref="fl-39"/>
</object_component>
<object_component id="oc-105">
<name>.debug_aranges</name>
<load_address>0x340</load_address>
<run_address>0x340</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-126">
<name>.debug_aranges</name>
<load_address>0x360</load_address>
<run_address>0x360</run_address>
<size>0x20</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-12a">
<name>.debug_aranges</name>
<load_address>0x380</load_address>
<run_address>0x380</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-12f">
<name>.debug_aranges</name>
<load_address>0x3a0</load_address>
<run_address>0x3a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-137">
<name>.debug_aranges</name>
<load_address>0x3c0</load_address>
<run_address>0x3c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-13b">
<name>.debug_aranges</name>
<load_address>0x3e0</load_address>
<run_address>0x3e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-140">
<name>.debug_aranges</name>
<load_address>0x400</load_address>
<run_address>0x400</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-145">
<name>.debug_aranges</name>
<load_address>0x420</load_address>
<run_address>0x420</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-14f">
<name>.debug_aranges</name>
<load_address>0x440</load_address>
<run_address>0x440</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-160">
<name>.debug_aranges</name>
<load_address>0x460</load_address>
<run_address>0x460</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-165">
<name>.debug_aranges</name>
<load_address>0x480</load_address>
<run_address>0x480</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-16a">
<name>.debug_aranges</name>
<load_address>0x4a0</load_address>
<run_address>0x4a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-171">
<name>.debug_aranges</name>
<load_address>0x4c0</load_address>
<run_address>0x4c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-177">
<name>.debug_aranges</name>
<load_address>0x4e0</load_address>
<run_address>0x4e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-17e">
<name>.debug_aranges</name>
<load_address>0x500</load_address>
<run_address>0x500</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-192">
<name>.debug_aranges</name>
<load_address>0x520</load_address>
<run_address>0x520</run_address>
<size>0x20</size>
<input_file_ref idref="fl-50"/>
</object_component>
<object_component id="oc-197">
<name>.debug_aranges</name>
<load_address>0x540</load_address>
<run_address>0x540</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-19c">
<name>.debug_aranges</name>
<load_address>0x560</load_address>
<run_address>0x560</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-1a6">
<name>.debug_aranges</name>
<load_address>0x580</load_address>
<run_address>0x580</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1ad">
<name>.debug_aranges</name>
<load_address>0x5a0</load_address>
<run_address>0x5a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-1b1">
<name>.debug_aranges</name>
<load_address>0x5c0</load_address>
<run_address>0x5c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1b6">
<name>.debug_aranges</name>
<load_address>0x5e0</load_address>
<run_address>0x5e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1bc">
<name>.debug_aranges</name>
<load_address>0x600</load_address>
<run_address>0x600</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1c3">
<name>.debug_aranges</name>
<load_address>0x620</load_address>
<run_address>0x620</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1c8">
<name>.debug_aranges</name>
<load_address>0x640</load_address>
<run_address>0x640</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1cf">
<name>.debug_aranges</name>
<load_address>0x660</load_address>
<run_address>0x660</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1d6">
<name>.debug_aranges</name>
<load_address>0x680</load_address>
<run_address>0x680</run_address>
<size>0x20</size>
<input_file_ref idref="fl-38"/>
</object_component>
<object_component id="oc-1d8">
<name>.debug_aranges</name>
<load_address>0x6a0</load_address>
<run_address>0x6a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1e5">
<name>.debug_aranges</name>
<load_address>0x6c0</load_address>
<run_address>0x6c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1ec">
<name>.debug_aranges</name>
<load_address>0x6e0</load_address>
<run_address>0x6e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-37"/>
</object_component>
<object_component id="oc-1f0">
<name>.debug_aranges</name>
<load_address>0x700</load_address>
<run_address>0x700</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1f5">
<name>.debug_aranges</name>
<load_address>0x720</load_address>
<run_address>0x720</run_address>
<size>0x20</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-200">
<name>.debug_aranges</name>
<load_address>0x740</load_address>
<run_address>0x740</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4f"/>
</object_component>
<object_component id="oc-206">
<name>.debug_aranges</name>
<load_address>0x760</load_address>
<run_address>0x760</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-20a">
<name>.debug_aranges</name>
<load_address>0x780</load_address>
<run_address>0x780</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4e"/>
</object_component>
<object_component id="oc-222">
<name>.debug_aranges</name>
<load_address>0x7a0</load_address>
<run_address>0x7a0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-3c"/>
</object_component>
<object_component id="oc-238">
<name>.debug_aranges</name>
<load_address>0x7c0</load_address>
<run_address>0x7c0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-23d">
<name>.debug_aranges</name>
<load_address>0x7e0</load_address>
<run_address>0x7e0</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-249">
<name>.debug_aranges</name>
<load_address>0x800</load_address>
<run_address>0x800</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-24d">
<name>.debug_aranges</name>
<load_address>0x820</load_address>
<run_address>0x820</run_address>
<size>0x20</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-3c">
<name>.debug_line</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x44</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-42">
<name>.debug_line</name>
<load_address>0x44</load_address>
<run_address>0x44</run_address>
<size>0x50</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-47">
<name>.debug_line</name>
<load_address>0x94</load_address>
<run_address>0x94</run_address>
<size>0x4a</size>
<input_file_ref idref="fl-4d"/>
</object_component>
<object_component id="oc-4d">
<name>.debug_line</name>
<load_address>0xde</load_address>
<run_address>0xde</run_address>
<size>0x49</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-54">
<name>.debug_line</name>
<load_address>0x127</load_address>
<run_address>0x127</run_address>
<size>0x86</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-59">
<name>.debug_line</name>
<load_address>0x1ad</load_address>
<run_address>0x1ad</run_address>
<size>0x3c</size>
<input_file_ref idref="fl-36"/>
</object_component>
<object_component id="oc-5d">
<name>.debug_line</name>
<load_address>0x1e9</load_address>
<run_address>0x1e9</run_address>
<size>0x3e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-60">
<name>.debug_line</name>
<load_address>0x227</load_address>
<run_address>0x227</run_address>
<size>0x6b</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-67">
<name>.debug_line</name>
<load_address>0x292</load_address>
<run_address>0x292</run_address>
<size>0x178</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-6a">
<name>.debug_line</name>
<load_address>0x40a</load_address>
<run_address>0x40a</run_address>
<size>0x3a</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-72">
<name>.debug_line</name>
<load_address>0x444</load_address>
<run_address>0x444</run_address>
<size>0x42</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-77">
<name>.debug_line</name>
<load_address>0x486</load_address>
<run_address>0x486</run_address>
<size>0x3a</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-7e">
<name>.debug_line</name>
<load_address>0x4c0</load_address>
<run_address>0x4c0</run_address>
<size>0x34</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-85">
<name>.debug_line</name>
<load_address>0x4f4</load_address>
<run_address>0x4f4</run_address>
<size>0x80</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-8b">
<name>.debug_line</name>
<load_address>0x574</load_address>
<run_address>0x574</run_address>
<size>0x39</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-8f">
<name>.debug_line</name>
<load_address>0x5ad</load_address>
<run_address>0x5ad</run_address>
<size>0x2a</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-92">
<name>.debug_line</name>
<load_address>0x5d7</load_address>
<run_address>0x5d7</run_address>
<size>0x20</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-98">
<name>.debug_line</name>
<load_address>0x5f7</load_address>
<run_address>0x5f7</run_address>
<size>0xb4</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-a1">
<name>.debug_line</name>
<load_address>0x6ab</load_address>
<run_address>0x6ab</run_address>
<size>0x4b</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-a7">
<name>.debug_line</name>
<load_address>0x6f6</load_address>
<run_address>0x6f6</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-ad">
<name>.debug_line</name>
<load_address>0x743</load_address>
<run_address>0x743</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-b3">
<name>.debug_line</name>
<load_address>0x790</load_address>
<run_address>0x790</run_address>
<size>0x105</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-b8">
<name>.debug_line</name>
<load_address>0x895</load_address>
<run_address>0x895</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-be">
<name>.debug_line</name>
<load_address>0x901</load_address>
<run_address>0x901</run_address>
<size>0x40</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-c6">
<name>.debug_line</name>
<load_address>0x941</load_address>
<run_address>0x941</run_address>
<size>0x76</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-cb">
<name>.debug_line</name>
<load_address>0x9b7</load_address>
<run_address>0x9b7</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-d0">
<name>.debug_line</name>
<load_address>0xa23</load_address>
<run_address>0xa23</run_address>
<size>0xbf</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-d5">
<name>.debug_line</name>
<load_address>0xae2</load_address>
<run_address>0xae2</run_address>
<size>0x4b</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-db">
<name>.debug_line</name>
<load_address>0xb2d</load_address>
<run_address>0xb2d</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-e2">
<name>.debug_line</name>
<load_address>0xb59</load_address>
<run_address>0xb59</run_address>
<size>0x44</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-e7">
<name>.debug_line</name>
<load_address>0xb9d</load_address>
<run_address>0xb9d</run_address>
<size>0x44</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-eb">
<name>.debug_line</name>
<load_address>0xbe1</load_address>
<run_address>0xbe1</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-ed">
<name>.debug_line</name>
<load_address>0xc01</load_address>
<run_address>0xc01</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4d"/>
</object_component>
<object_component id="oc-f0">
<name>.debug_line</name>
<load_address>0xc21</load_address>
<run_address>0xc21</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-f4">
<name>.debug_line</name>
<load_address>0xc41</load_address>
<run_address>0xc41</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-f9">
<name>.debug_line</name>
<load_address>0xcbb</load_address>
<run_address>0xcbb</run_address>
<size>0x9b</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-fe">
<name>.debug_line</name>
<load_address>0xd56</load_address>
<run_address>0xd56</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-39"/>
</object_component>
<object_component id="oc-107">
<name>.debug_line</name>
<load_address>0xdb4</load_address>
<run_address>0xdb4</run_address>
<size>0x38</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-10a">
<name>.debug_line</name>
<load_address>0xdec</load_address>
<run_address>0xdec</run_address>
<size>0x2b</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-10c">
<name>.debug_line</name>
<load_address>0xe17</load_address>
<run_address>0xe17</run_address>
<size>0x2a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-10f">
<name>.debug_line</name>
<load_address>0xe41</load_address>
<run_address>0xe41</run_address>
<size>0x106</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-112">
<name>.debug_line</name>
<load_address>0xf47</load_address>
<run_address>0xf47</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-117">
<name>.debug_line</name>
<load_address>0xf76</load_address>
<run_address>0xf76</run_address>
<size>0x30</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-11c">
<name>.debug_line</name>
<load_address>0xfa6</load_address>
<run_address>0xfa6</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-121">
<name>.debug_line</name>
<load_address>0xfd4</load_address>
<run_address>0xfd4</run_address>
<size>0x44</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-125">
<name>.debug_line</name>
<load_address>0x1018</load_address>
<run_address>0x1018</run_address>
<size>0x43</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-12c">
<name>.debug_line</name>
<load_address>0x105b</load_address>
<run_address>0x105b</run_address>
<size>0x4f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-131">
<name>.debug_line</name>
<load_address>0x10aa</load_address>
<run_address>0x10aa</run_address>
<size>0x48</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-135">
<name>.debug_line</name>
<load_address>0x10f2</load_address>
<run_address>0x10f2</run_address>
<size>0x7b</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-13d">
<name>.debug_line</name>
<load_address>0x116d</load_address>
<run_address>0x116d</run_address>
<size>0x55</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-142">
<name>.debug_line</name>
<load_address>0x11c2</load_address>
<run_address>0x11c2</run_address>
<size>0x95</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-147">
<name>.debug_line</name>
<load_address>0x1257</load_address>
<run_address>0x1257</run_address>
<size>0x4a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-151">
<name>.debug_line</name>
<load_address>0x12a1</load_address>
<run_address>0x12a1</run_address>
<size>0x55</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-156">
<name>.debug_line</name>
<load_address>0x12f6</load_address>
<run_address>0x12f6</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-159">
<name>.debug_line</name>
<load_address>0x1324</load_address>
<run_address>0x1324</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-162">
<name>.debug_line</name>
<load_address>0x1352</load_address>
<run_address>0x1352</run_address>
<size>0x107</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-167">
<name>.debug_line</name>
<load_address>0x1459</load_address>
<run_address>0x1459</run_address>
<size>0x48</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-16c">
<name>.debug_line</name>
<load_address>0x14a1</load_address>
<run_address>0x14a1</run_address>
<size>0xc0</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-170">
<name>.debug_line</name>
<load_address>0x1561</load_address>
<run_address>0x1561</run_address>
<size>0x64</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-179">
<name>.debug_line</name>
<load_address>0x15c5</load_address>
<run_address>0x15c5</run_address>
<size>0x5a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-180">
<name>.debug_line</name>
<load_address>0x161f</load_address>
<run_address>0x161f</run_address>
<size>0x9b</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-187">
<name>.debug_line</name>
<load_address>0x16ba</load_address>
<run_address>0x16ba</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-189">
<name>.debug_line</name>
<load_address>0x16e9</load_address>
<run_address>0x16e9</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-191">
<name>.debug_line</name>
<load_address>0x1718</load_address>
<run_address>0x1718</run_address>
<size>0x5d</size>
<input_file_ref idref="fl-50"/>
</object_component>
<object_component id="oc-196">
<name>.debug_line</name>
<load_address>0x1775</load_address>
<run_address>0x1775</run_address>
<size>0x8d</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-19a">
<name>.debug_line</name>
<load_address>0x1802</load_address>
<run_address>0x1802</run_address>
<size>0x56</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-1a1">
<name>.debug_line</name>
<load_address>0x1858</load_address>
<run_address>0x1858</run_address>
<size>0x44</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1a8">
<name>.debug_line</name>
<load_address>0x189c</load_address>
<run_address>0x189c</run_address>
<size>0x12e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1af">
<name>.debug_line</name>
<load_address>0x19ca</load_address>
<run_address>0x19ca</run_address>
<size>0x65</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-1b3">
<name>.debug_line</name>
<load_address>0x1a2f</load_address>
<run_address>0x1a2f</run_address>
<size>0x73</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1b8">
<name>.debug_line</name>
<load_address>0x1aa2</load_address>
<run_address>0x1aa2</run_address>
<size>0x45</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1be">
<name>.debug_line</name>
<load_address>0x1ae7</load_address>
<run_address>0x1ae7</run_address>
<size>0x55</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1c5">
<name>.debug_line</name>
<load_address>0x1b3c</load_address>
<run_address>0x1b3c</run_address>
<size>0x46</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1ca">
<name>.debug_line</name>
<load_address>0x1b82</load_address>
<run_address>0x1b82</run_address>
<size>0x72</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1d1">
<name>.debug_line</name>
<load_address>0x1bf4</load_address>
<run_address>0x1bf4</run_address>
<size>0x78</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1d5">
<name>.debug_line</name>
<load_address>0x1c6c</load_address>
<run_address>0x1c6c</run_address>
<size>0x56</size>
<input_file_ref idref="fl-38"/>
</object_component>
<object_component id="oc-1da">
<name>.debug_line</name>
<load_address>0x1cc2</load_address>
<run_address>0x1cc2</run_address>
<size>0x6b</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1df">
<name>.debug_line</name>
<load_address>0x1d2d</load_address>
<run_address>0x1d2d</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1e7">
<name>.debug_line</name>
<load_address>0x1d5c</load_address>
<run_address>0x1d5c</run_address>
<size>0x4b</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1ed">
<name>.debug_line</name>
<load_address>0x1da7</load_address>
<run_address>0x1da7</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-37"/>
</object_component>
<object_component id="oc-1f2">
<name>.debug_line</name>
<load_address>0x1df5</load_address>
<run_address>0x1df5</run_address>
<size>0x60</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1f7">
<name>.debug_line</name>
<load_address>0x1e55</load_address>
<run_address>0x1e55</run_address>
<size>0x6f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1fd">
<name>.debug_line</name>
<load_address>0x1ec4</load_address>
<run_address>0x1ec4</run_address>
<size>0x5d</size>
<input_file_ref idref="fl-4f"/>
</object_component>
<object_component id="oc-204">
<name>.debug_line</name>
<load_address>0x1f21</load_address>
<run_address>0x1f21</run_address>
<size>0x58</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-209">
<name>.debug_line</name>
<load_address>0x1f79</load_address>
<run_address>0x1f79</run_address>
<size>0x46</size>
<input_file_ref idref="fl-4e"/>
</object_component>
<object_component id="oc-20f">
<name>.debug_line</name>
<load_address>0x1fbf</load_address>
<run_address>0x1fbf</run_address>
<size>0x52</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-212">
<name>.debug_line</name>
<load_address>0x2011</load_address>
<run_address>0x2011</run_address>
<size>0x43</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-217">
<name>.debug_line</name>
<load_address>0x2054</load_address>
<run_address>0x2054</run_address>
<size>0x2e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-221">
<name>.debug_line</name>
<load_address>0x2082</load_address>
<run_address>0x2082</run_address>
<size>0x4b</size>
<input_file_ref idref="fl-3c"/>
</object_component>
<object_component id="oc-231">
<name>.debug_line</name>
<load_address>0x20cd</load_address>
<run_address>0x20cd</run_address>
<size>0x20</size>
<input_file_ref idref="fl-50"/>
</object_component>
<object_component id="oc-235">
<name>.debug_line</name>
<load_address>0x20ed</load_address>
<run_address>0x20ed</run_address>
<size>0x4f</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-23b">
<name>.debug_line</name>
<load_address>0x213c</load_address>
<run_address>0x213c</run_address>
<size>0x50</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-243">
<name>.debug_line</name>
<load_address>0x218c</load_address>
<run_address>0x218c</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4f"/>
</object_component>
<object_component id="oc-248">
<name>.debug_line</name>
<load_address>0x21ac</load_address>
<run_address>0x21ac</run_address>
<size>0x48</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-24c">
<name>.debug_line</name>
<load_address>0x21f4</load_address>
<run_address>0x21f4</run_address>
<size>0x42</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-250">
<name>.debug_line</name>
<load_address>0x2236</load_address>
<run_address>0x2236</run_address>
<size>0x20</size>
<input_file_ref idref="fl-4e"/>
</object_component>
<object_component id="oc-3d">
<name>.debug_info</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x125</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-41">
<name>.debug_info</name>
<load_address>0x125</load_address>
<run_address>0x125</run_address>
<size>0x194</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-45">
<name>.debug_info</name>
<load_address>0x2b9</load_address>
<run_address>0x2b9</run_address>
<size>0x16a</size>
<input_file_ref idref="fl-4d"/>
</object_component>
<object_component id="oc-4b">
<name>.debug_info</name>
<load_address>0x423</load_address>
<run_address>0x423</run_address>
<size>0x18e</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-4f">
<name>.debug_info</name>
<load_address>0x5b1</load_address>
<run_address>0x5b1</run_address>
<size>0xaa</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-53">
<name>.debug_info</name>
<load_address>0x65b</load_address>
<run_address>0x65b</run_address>
<size>0x17e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-57">
<name>.debug_info</name>
<load_address>0x7d9</load_address>
<run_address>0x7d9</run_address>
<size>0xc2</size>
<input_file_ref idref="fl-36"/>
</object_component>
<object_component id="oc-5c">
<name>.debug_info</name>
<load_address>0x89b</load_address>
<run_address>0x89b</run_address>
<size>0x108</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-61">
<name>.debug_info</name>
<load_address>0x9a3</load_address>
<run_address>0x9a3</run_address>
<size>0x14d</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-66">
<name>.debug_info</name>
<load_address>0xaf0</load_address>
<run_address>0xaf0</run_address>
<size>0x2b0</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-6d">
<name>.debug_info</name>
<load_address>0xda0</load_address>
<run_address>0xda0</run_address>
<size>0xcc</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-71">
<name>.debug_info</name>
<load_address>0xe6c</load_address>
<run_address>0xe6c</run_address>
<size>0x164</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-75">
<name>.debug_info</name>
<load_address>0xfd0</load_address>
<run_address>0xfd0</run_address>
<size>0x46</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-7a">
<name>.debug_info</name>
<load_address>0x1016</load_address>
<run_address>0x1016</run_address>
<size>0x164</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-7c">
<name>.debug_info</name>
<load_address>0x117a</load_address>
<run_address>0x117a</run_address>
<size>0x46</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-81">
<name>.debug_info</name>
<load_address>0x11c0</load_address>
<run_address>0x11c0</run_address>
<size>0x11f</size>
<input_file_ref idref="fl-4d"/>
</object_component>
<object_component id="oc-83">
<name>.debug_info</name>
<load_address>0x12df</load_address>
<run_address>0x12df</run_address>
<size>0x1e9</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-88">
<name>.debug_info</name>
<load_address>0x14c8</load_address>
<run_address>0x14c8</run_address>
<size>0x129</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-89">
<name>.debug_info</name>
<load_address>0x15f1</load_address>
<run_address>0x15f1</run_address>
<size>0x39</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-90">
<name>.debug_info</name>
<load_address>0x162a</load_address>
<run_address>0x162a</run_address>
<size>0x84</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-97">
<name>.debug_info</name>
<load_address>0x16ae</load_address>
<run_address>0x16ae</run_address>
<size>0x252</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-9b">
<name>.debug_info</name>
<load_address>0x1900</load_address>
<run_address>0x1900</run_address>
<size>0xe1</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-9d">
<name>.debug_info</name>
<load_address>0x19e1</load_address>
<run_address>0x19e1</run_address>
<size>0xc2</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-a0">
<name>.debug_info</name>
<load_address>0x1aa3</load_address>
<run_address>0x1aa3</run_address>
<size>0xd4</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-a3">
<name>.debug_info</name>
<load_address>0x1b77</load_address>
<run_address>0x1b77</run_address>
<size>0x758</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-a5">
<name>.debug_info</name>
<load_address>0x22cf</load_address>
<run_address>0x22cf</run_address>
<size>0xe8</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-ac">
<name>.debug_info</name>
<load_address>0x23b7</load_address>
<run_address>0x23b7</run_address>
<size>0x194</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-b2">
<name>.debug_info</name>
<load_address>0x254b</load_address>
<run_address>0x254b</run_address>
<size>0x42f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-b7">
<name>.debug_info</name>
<load_address>0x297a</load_address>
<run_address>0x297a</run_address>
<size>0x150</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-c0">
<name>.debug_info</name>
<load_address>0x2aca</load_address>
<run_address>0x2aca</run_address>
<size>0xe1</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-c2">
<name>.debug_info</name>
<load_address>0x2bab</load_address>
<run_address>0x2bab</run_address>
<size>0x2ba</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-c5">
<name>.debug_info</name>
<load_address>0x2e65</load_address>
<run_address>0x2e65</run_address>
<size>0x14d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-ca">
<name>.debug_info</name>
<load_address>0x2fb2</load_address>
<run_address>0x2fb2</run_address>
<size>0x156</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-cf">
<name>.debug_info</name>
<load_address>0x3108</load_address>
<run_address>0x3108</run_address>
<size>0x230</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-d4">
<name>.debug_info</name>
<load_address>0x3338</load_address>
<run_address>0x3338</run_address>
<size>0x15d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-d9">
<name>.debug_info</name>
<load_address>0x3495</load_address>
<run_address>0x3495</run_address>
<size>0x2a3</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-e0">
<name>.debug_info</name>
<load_address>0x3738</load_address>
<run_address>0x3738</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-e5">
<name>.debug_info</name>
<load_address>0x3764</load_address>
<run_address>0x3764</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-f3">
<name>.debug_info</name>
<load_address>0x3790</load_address>
<run_address>0x3790</run_address>
<size>0x152</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-f8">
<name>.debug_info</name>
<load_address>0x38e2</load_address>
<run_address>0x38e2</run_address>
<size>0x14b</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-fd">
<name>.debug_info</name>
<load_address>0x3a2d</load_address>
<run_address>0x3a2d</run_address>
<size>0xd3</size>
<input_file_ref idref="fl-39"/>
</object_component>
<object_component id="oc-100">
<name>.debug_info</name>
<load_address>0x3b00</load_address>
<run_address>0x3b00</run_address>
<size>0xcc</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-102">
<name>.debug_info</name>
<load_address>0x3bcc</load_address>
<run_address>0x3bcc</run_address>
<size>0xc4</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-106">
<name>.debug_info</name>
<load_address>0x3c90</load_address>
<run_address>0x3c90</run_address>
<size>0xe6</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-110">
<name>.debug_info</name>
<load_address>0x3d76</load_address>
<run_address>0x3d76</run_address>
<size>0xbc</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-115">
<name>.debug_info</name>
<load_address>0x3e32</load_address>
<run_address>0x3e32</run_address>
<size>0xbb</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-11a">
<name>.debug_info</name>
<load_address>0x3eed</load_address>
<run_address>0x3eed</run_address>
<size>0x69</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-11f">
<name>.debug_info</name>
<load_address>0x3f56</load_address>
<run_address>0x3f56</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-127">
<name>.debug_info</name>
<load_address>0x3f82</load_address>
<run_address>0x3f82</run_address>
<size>0xd4</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-12b">
<name>.debug_info</name>
<load_address>0x4056</load_address>
<run_address>0x4056</run_address>
<size>0x184</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-130">
<name>.debug_info</name>
<load_address>0x41da</load_address>
<run_address>0x41da</run_address>
<size>0x13d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-134">
<name>.debug_info</name>
<load_address>0x4317</load_address>
<run_address>0x4317</run_address>
<size>0x256</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-13c">
<name>.debug_info</name>
<load_address>0x456d</load_address>
<run_address>0x456d</run_address>
<size>0x173</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-141">
<name>.debug_info</name>
<load_address>0x46e0</load_address>
<run_address>0x46e0</run_address>
<size>0x1ef</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-146">
<name>.debug_info</name>
<load_address>0x48cf</load_address>
<run_address>0x48cf</run_address>
<size>0x155</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-150">
<name>.debug_info</name>
<load_address>0x4a24</load_address>
<run_address>0x4a24</run_address>
<size>0x167</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-157">
<name>.debug_info</name>
<load_address>0x4b8b</load_address>
<run_address>0x4b8b</run_address>
<size>0x39</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-161">
<name>.debug_info</name>
<load_address>0x4bc4</load_address>
<run_address>0x4bc4</run_address>
<size>0x144</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-166">
<name>.debug_info</name>
<load_address>0x4d08</load_address>
<run_address>0x4d08</run_address>
<size>0x164</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-16b">
<name>.debug_info</name>
<load_address>0x4e6c</load_address>
<run_address>0x4e6c</run_address>
<size>0x23f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-16f">
<name>.debug_info</name>
<load_address>0x50ab</load_address>
<run_address>0x50ab</run_address>
<size>0xd6</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-178">
<name>.debug_info</name>
<load_address>0x5181</load_address>
<run_address>0x5181</run_address>
<size>0x176</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-17f">
<name>.debug_info</name>
<load_address>0x52f7</load_address>
<run_address>0x52f7</run_address>
<size>0x1fc</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-18f">
<name>.debug_info</name>
<load_address>0x54f3</load_address>
<run_address>0x54f3</run_address>
<size>0x153</size>
<input_file_ref idref="fl-50"/>
</object_component>
<object_component id="oc-194">
<name>.debug_info</name>
<load_address>0x5646</load_address>
<run_address>0x5646</run_address>
<size>0x233</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-199">
<name>.debug_info</name>
<load_address>0x5879</load_address>
<run_address>0x5879</run_address>
<size>0x1d0</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-19e">
<name>.debug_info</name>
<load_address>0x5a49</load_address>
<run_address>0x5a49</run_address>
<size>0x259</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-19f">
<name>.debug_info</name>
<load_address>0x5ca2</load_address>
<run_address>0x5ca2</run_address>
<size>0x86</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1a7">
<name>.debug_info</name>
<load_address>0x5d28</load_address>
<run_address>0x5d28</run_address>
<size>0x277</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1ae">
<name>.debug_info</name>
<load_address>0x5f9f</load_address>
<run_address>0x5f9f</run_address>
<size>0xd9</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-1b2">
<name>.debug_info</name>
<load_address>0x6078</load_address>
<run_address>0x6078</run_address>
<size>0x1ce</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1b7">
<name>.debug_info</name>
<load_address>0x6246</load_address>
<run_address>0x6246</run_address>
<size>0x140</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1bd">
<name>.debug_info</name>
<load_address>0x6386</load_address>
<run_address>0x6386</run_address>
<size>0x167</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1c4">
<name>.debug_info</name>
<load_address>0x64ed</load_address>
<run_address>0x64ed</run_address>
<size>0x186</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1c9">
<name>.debug_info</name>
<load_address>0x6673</load_address>
<run_address>0x6673</run_address>
<size>0x11a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1d0">
<name>.debug_info</name>
<load_address>0x678d</load_address>
<run_address>0x678d</run_address>
<size>0x1ab</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1d4">
<name>.debug_info</name>
<load_address>0x6938</load_address>
<run_address>0x6938</run_address>
<size>0xd9</size>
<input_file_ref idref="fl-38"/>
</object_component>
<object_component id="oc-1d9">
<name>.debug_info</name>
<load_address>0x6a11</load_address>
<run_address>0x6a11</run_address>
<size>0x13f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1dd">
<name>.debug_info</name>
<load_address>0x6b50</load_address>
<run_address>0x6b50</run_address>
<size>0x67</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1e6">
<name>.debug_info</name>
<load_address>0x6bb7</load_address>
<run_address>0x6bb7</run_address>
<size>0x147</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1ee">
<name>.debug_info</name>
<load_address>0x6cfe</load_address>
<run_address>0x6cfe</run_address>
<size>0xd3</size>
<input_file_ref idref="fl-37"/>
</object_component>
<object_component id="oc-1f1">
<name>.debug_info</name>
<load_address>0x6dd1</load_address>
<run_address>0x6dd1</run_address>
<size>0x174</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1f6">
<name>.debug_info</name>
<load_address>0x6f45</load_address>
<run_address>0x6f45</run_address>
<size>0x168</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1fb">
<name>.debug_info</name>
<load_address>0x70ad</load_address>
<run_address>0x70ad</run_address>
<size>0x111</size>
<input_file_ref idref="fl-50"/>
</object_component>
<object_component id="oc-1ff">
<name>.debug_info</name>
<load_address>0x71be</load_address>
<run_address>0x71be</run_address>
<size>0x183</size>
<input_file_ref idref="fl-4f"/>
</object_component>
<object_component id="oc-203">
<name>.debug_info</name>
<load_address>0x7341</load_address>
<run_address>0x7341</run_address>
<size>0x1de</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-208">
<name>.debug_info</name>
<load_address>0x751f</load_address>
<run_address>0x751f</run_address>
<size>0x160</size>
<input_file_ref idref="fl-4e"/>
</object_component>
<object_component id="oc-210">
<name>.debug_info</name>
<load_address>0x767f</load_address>
<run_address>0x767f</run_address>
<size>0x2c</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-215">
<name>.debug_info</name>
<load_address>0x76ab</load_address>
<run_address>0x76ab</run_address>
<size>0x39</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-220">
<name>.debug_info</name>
<load_address>0x76e4</load_address>
<run_address>0x76e4</run_address>
<size>0xd8</size>
<input_file_ref idref="fl-3c"/>
</object_component>
<object_component id="oc-233">
<name>.debug_info</name>
<load_address>0x77bc</load_address>
<run_address>0x77bc</run_address>
<size>0x117</size>
<input_file_ref idref="fl-4f"/>
</object_component>
<object_component id="oc-236">
<name>.debug_info</name>
<load_address>0x78d3</load_address>
<run_address>0x78d3</run_address>
<size>0x15c</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-23a">
<name>.debug_info</name>
<load_address>0x7a2f</load_address>
<run_address>0x7a2f</run_address>
<size>0x19b</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-240">
<name>.debug_info</name>
<load_address>0x7bca</load_address>
<run_address>0x7bca</run_address>
<size>0x118</size>
<input_file_ref idref="fl-4e"/>
</object_component>
<object_component id="oc-246">
<name>.debug_info</name>
<load_address>0x7ce2</load_address>
<run_address>0x7ce2</run_address>
<size>0x168</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-24b">
<name>.debug_info</name>
<load_address>0x7e4a</load_address>
<run_address>0x7e4a</run_address>
<size>0xd4</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-28d">
<name>.debug_info</name>
<load_address>0x7f1e</load_address>
<run_address>0x7f1e</run_address>
<size>0xa8</size>
</object_component>
<object_component id="oc-3e">
<name>.debug_frame</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x42</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-40">
<name>.debug_frame</name>
<load_address>0x42</load_address>
<run_address>0x42</run_address>
<size>0x47</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-48">
<name>.debug_frame</name>
<load_address>0x89</load_address>
<run_address>0x89</run_address>
<size>0x47</size>
<input_file_ref idref="fl-4d"/>
</object_component>
<object_component id="oc-4c">
<name>.debug_frame</name>
<load_address>0xd0</load_address>
<run_address>0xd0</run_address>
<size>0x47</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-55">
<name>.debug_frame</name>
<load_address>0x117</load_address>
<run_address>0x117</run_address>
<size>0x84</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-5e">
<name>.debug_frame</name>
<load_address>0x19b</load_address>
<run_address>0x19b</run_address>
<size>0x47</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-62">
<name>.debug_frame</name>
<load_address>0x1e2</load_address>
<run_address>0x1e2</run_address>
<size>0x51</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-68">
<name>.debug_frame</name>
<load_address>0x233</load_address>
<run_address>0x233</run_address>
<size>0x73</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-6b">
<name>.debug_frame</name>
<load_address>0x2a6</load_address>
<run_address>0x2a6</run_address>
<size>0x47</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-73">
<name>.debug_frame</name>
<load_address>0x2ed</load_address>
<run_address>0x2ed</run_address>
<size>0x47</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-86">
<name>.debug_frame</name>
<load_address>0x334</load_address>
<run_address>0x334</run_address>
<size>0x51</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-99">
<name>.debug_frame</name>
<load_address>0x385</load_address>
<run_address>0x385</run_address>
<size>0x51</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-ae">
<name>.debug_frame</name>
<load_address>0x3d6</load_address>
<run_address>0x3d6</run_address>
<size>0x56</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-b4">
<name>.debug_frame</name>
<load_address>0x42c</load_address>
<run_address>0x42c</run_address>
<size>0x8f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-b9">
<name>.debug_frame</name>
<load_address>0x4bb</load_address>
<run_address>0x4bb</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-c7">
<name>.debug_frame</name>
<load_address>0x508</load_address>
<run_address>0x508</run_address>
<size>0x47</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-cc">
<name>.debug_frame</name>
<load_address>0x54f</load_address>
<run_address>0x54f</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-d1">
<name>.debug_frame</name>
<load_address>0x59c</load_address>
<run_address>0x59c</run_address>
<size>0x84</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-d6">
<name>.debug_frame</name>
<load_address>0x620</load_address>
<run_address>0x620</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-f5">
<name>.debug_frame</name>
<load_address>0x66d</load_address>
<run_address>0x66d</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-fa">
<name>.debug_frame</name>
<load_address>0x6ba</load_address>
<run_address>0x6ba</run_address>
<size>0x47</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-108">
<name>.debug_frame</name>
<load_address>0x701</load_address>
<run_address>0x701</run_address>
<size>0x47</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-12d">
<name>.debug_frame</name>
<load_address>0x748</load_address>
<run_address>0x748</run_address>
<size>0x56</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-132">
<name>.debug_frame</name>
<load_address>0x79e</load_address>
<run_address>0x79e</run_address>
<size>0x47</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-136">
<name>.debug_frame</name>
<load_address>0x7e5</load_address>
<run_address>0x7e5</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-13e">
<name>.debug_frame</name>
<load_address>0x851</load_address>
<run_address>0x851</run_address>
<size>0x57</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-143">
<name>.debug_frame</name>
<load_address>0x8a8</load_address>
<run_address>0x8a8</run_address>
<size>0x84</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-148">
<name>.debug_frame</name>
<load_address>0x92c</load_address>
<run_address>0x92c</run_address>
<size>0x47</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-152">
<name>.debug_frame</name>
<load_address>0x973</load_address>
<run_address>0x973</run_address>
<size>0x47</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-163">
<name>.debug_frame</name>
<load_address>0x9ba</load_address>
<run_address>0x9ba</run_address>
<size>0x48</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-168">
<name>.debug_frame</name>
<load_address>0xa02</load_address>
<run_address>0xa02</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-16d">
<name>.debug_frame</name>
<load_address>0xa7c</load_address>
<run_address>0xa7c</run_address>
<size>0x75</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-17a">
<name>.debug_frame</name>
<load_address>0xaf1</load_address>
<run_address>0xaf1</run_address>
<size>0x56</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-181">
<name>.debug_frame</name>
<load_address>0xb47</load_address>
<run_address>0xb47</run_address>
<size>0x69</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-190">
<name>.debug_frame</name>
<load_address>0xbb0</load_address>
<run_address>0xbb0</run_address>
<size>0x47</size>
<input_file_ref idref="fl-50"/>
</object_component>
<object_component id="oc-195">
<name>.debug_frame</name>
<load_address>0xbf7</load_address>
<run_address>0xbf7</run_address>
<size>0x67</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-19b">
<name>.debug_frame</name>
<load_address>0xc5e</load_address>
<run_address>0xc5e</run_address>
<size>0x5b</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-1a9">
<name>.debug_frame</name>
<load_address>0xcb9</load_address>
<run_address>0xcb9</run_address>
<size>0x8d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1b4">
<name>.debug_frame</name>
<load_address>0xd46</load_address>
<run_address>0xd46</run_address>
<size>0x69</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1b9">
<name>.debug_frame</name>
<load_address>0xdaf</load_address>
<run_address>0xdaf</run_address>
<size>0x50</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1bf">
<name>.debug_frame</name>
<load_address>0xdff</load_address>
<run_address>0xdff</run_address>
<size>0x47</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1c6">
<name>.debug_frame</name>
<load_address>0xe46</load_address>
<run_address>0xe46</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1cb">
<name>.debug_frame</name>
<load_address>0xe93</load_address>
<run_address>0xe93</run_address>
<size>0x4d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1d2">
<name>.debug_frame</name>
<load_address>0xee0</load_address>
<run_address>0xee0</run_address>
<size>0x59</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1db">
<name>.debug_frame</name>
<load_address>0xf39</load_address>
<run_address>0xf39</run_address>
<size>0x47</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1e8">
<name>.debug_frame</name>
<load_address>0xf80</load_address>
<run_address>0xf80</run_address>
<size>0x50</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1f3">
<name>.debug_frame</name>
<load_address>0xfd0</load_address>
<run_address>0xfd0</run_address>
<size>0x63</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1f8">
<name>.debug_frame</name>
<load_address>0x1033</load_address>
<run_address>0x1033</run_address>
<size>0x51</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1fe">
<name>.debug_frame</name>
<load_address>0x1084</load_address>
<run_address>0x1084</run_address>
<size>0x47</size>
<input_file_ref idref="fl-4f"/>
</object_component>
<object_component id="oc-205">
<name>.debug_frame</name>
<load_address>0x10cb</load_address>
<run_address>0x10cb</run_address>
<size>0x51</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-20b">
<name>.debug_frame</name>
<load_address>0x111c</load_address>
<run_address>0x111c</run_address>
<size>0x47</size>
<input_file_ref idref="fl-4e"/>
</object_component>
<object_component id="oc-237">
<name>.debug_frame</name>
<load_address>0x1163</load_address>
<run_address>0x1163</run_address>
<size>0x47</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-23c">
<name>.debug_frame</name>
<load_address>0x11aa</load_address>
<run_address>0x11aa</run_address>
<size>0x56</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-247">
<name>.debug_frame</name>
<load_address>0x1200</load_address>
<run_address>0x1200</run_address>
<size>0x47</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-6e">
<name>.debug_abbrev</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x58</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-74">
<name>.debug_abbrev</name>
<load_address>0x58</load_address>
<run_address>0x58</run_address>
<size>0x74</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-76">
<name>.debug_abbrev</name>
<load_address>0xcc</load_address>
<run_address>0xcc</run_address>
<size>0x24</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-7b">
<name>.debug_abbrev</name>
<load_address>0xf0</load_address>
<run_address>0xf0</run_address>
<size>0x6f</size>
<input_file_ref idref="fl-4d"/>
</object_component>
<object_component id="oc-7d">
<name>.debug_abbrev</name>
<load_address>0x15f</load_address>
<run_address>0x15f</run_address>
<size>0x24</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-87">
<name>.debug_abbrev</name>
<load_address>0x183</load_address>
<run_address>0x183</run_address>
<size>0x74</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-8a">
<name>.debug_abbrev</name>
<load_address>0x1f7</load_address>
<run_address>0x1f7</run_address>
<size>0x24</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-8e">
<name>.debug_abbrev</name>
<load_address>0x21b</load_address>
<run_address>0x21b</run_address>
<size>0x29</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-91">
<name>.debug_abbrev</name>
<load_address>0x244</load_address>
<run_address>0x244</run_address>
<size>0x1f</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-ba">
<name>.debug_abbrev</name>
<load_address>0x263</load_address>
<run_address>0x263</run_address>
<size>0x6e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-bb">
<name>.debug_abbrev</name>
<load_address>0x2d1</load_address>
<run_address>0x2d1</run_address>
<size>0x27</size>
<input_file_ref idref="fl-36"/>
</object_component>
<object_component id="oc-bc">
<name>.debug_abbrev</name>
<load_address>0x2f8</load_address>
<run_address>0x2f8</run_address>
<size>0x50</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-c1">
<name>.debug_abbrev</name>
<load_address>0x348</load_address>
<run_address>0x348</run_address>
<size>0x64</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-d8">
<name>.debug_abbrev</name>
<load_address>0x3ac</load_address>
<run_address>0x3ac</run_address>
<size>0x7b</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-da">
<name>.debug_abbrev</name>
<load_address>0x427</load_address>
<run_address>0x427</run_address>
<size>0x67</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-de">
<name>.debug_abbrev</name>
<load_address>0x48e</load_address>
<run_address>0x48e</run_address>
<size>0x45</size>
<input_file_ref idref="fl-2a"/>
</object_component>
<object_component id="oc-df">
<name>.debug_abbrev</name>
<load_address>0x4d3</load_address>
<run_address>0x4d3</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-e1">
<name>.debug_abbrev</name>
<load_address>0x53f</load_address>
<run_address>0x53f</run_address>
<size>0x24</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-e6">
<name>.debug_abbrev</name>
<load_address>0x563</load_address>
<run_address>0x563</run_address>
<size>0x24</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-ea">
<name>.debug_abbrev</name>
<load_address>0x587</load_address>
<run_address>0x587</run_address>
<size>0x57</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-ec">
<name>.debug_abbrev</name>
<load_address>0x5de</load_address>
<run_address>0x5de</run_address>
<size>0x37</size>
<input_file_ref idref="fl-4d"/>
</object_component>
<object_component id="oc-ee">
<name>.debug_abbrev</name>
<load_address>0x615</load_address>
<run_address>0x615</run_address>
<size>0x61</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-ef">
<name>.debug_abbrev</name>
<load_address>0x676</load_address>
<run_address>0x676</run_address>
<size>0x37</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-103">
<name>.debug_abbrev</name>
<load_address>0x6ad</load_address>
<run_address>0x6ad</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-109">
<name>.debug_abbrev</name>
<load_address>0x719</load_address>
<run_address>0x719</run_address>
<size>0x27</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-10b">
<name>.debug_abbrev</name>
<load_address>0x740</load_address>
<run_address>0x740</run_address>
<size>0x25</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-10d">
<name>.debug_abbrev</name>
<load_address>0x765</load_address>
<run_address>0x765</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-3d"/>
</object_component>
<object_component id="oc-10e">
<name>.debug_abbrev</name>
<load_address>0x7a0</load_address>
<run_address>0x7a0</run_address>
<size>0x142</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-111">
<name>.debug_abbrev</name>
<load_address>0x8e2</load_address>
<run_address>0x8e2</run_address>
<size>0x53</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-116">
<name>.debug_abbrev</name>
<load_address>0x935</load_address>
<run_address>0x935</run_address>
<size>0x24</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-11b">
<name>.debug_abbrev</name>
<load_address>0x959</load_address>
<run_address>0x959</run_address>
<size>0x3a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-120">
<name>.debug_abbrev</name>
<load_address>0x993</load_address>
<run_address>0x993</run_address>
<size>0x24</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-128">
<name>.debug_abbrev</name>
<load_address>0x9b7</load_address>
<run_address>0x9b7</run_address>
<size>0x49</size>
<input_file_ref idref="fl-26"/>
</object_component>
<object_component id="oc-138">
<name>.debug_abbrev</name>
<load_address>0xa00</load_address>
<run_address>0xa00</run_address>
<size>0x7f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-14d">
<name>.debug_abbrev</name>
<load_address>0xa7f</load_address>
<run_address>0xa7f</run_address>
<size>0x78</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-153">
<name>.debug_abbrev</name>
<load_address>0xaf7</load_address>
<run_address>0xaf7</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-154">
<name>.debug_abbrev</name>
<load_address>0xb71</load_address>
<run_address>0xb71</run_address>
<size>0x39</size>
<input_file_ref idref="fl-29"/>
</object_component>
<object_component id="oc-155">
<name>.debug_abbrev</name>
<load_address>0xbaa</load_address>
<run_address>0xbaa</run_address>
<size>0x82</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-158">
<name>.debug_abbrev</name>
<load_address>0xc2c</load_address>
<run_address>0xc2c</run_address>
<size>0x24</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-15c">
<name>.debug_abbrev</name>
<load_address>0xc50</load_address>
<run_address>0xc50</run_address>
<size>0x6a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-15d">
<name>.debug_abbrev</name>
<load_address>0xcba</load_address>
<run_address>0xcba</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-173">
<name>.debug_abbrev</name>
<load_address>0xd34</load_address>
<run_address>0xd34</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-182">
<name>.debug_abbrev</name>
<load_address>0xdae</load_address>
<run_address>0xdae</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-183">
<name>.debug_abbrev</name>
<load_address>0xe1a</load_address>
<run_address>0xe1a</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-184">
<name>.debug_abbrev</name>
<load_address>0xe94</load_address>
<run_address>0xe94</run_address>
<size>0x6a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-185">
<name>.debug_abbrev</name>
<load_address>0xefe</load_address>
<run_address>0xefe</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-39"/>
</object_component>
<object_component id="oc-186">
<name>.debug_abbrev</name>
<load_address>0xf39</load_address>
<run_address>0xf39</run_address>
<size>0x27</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-188">
<name>.debug_abbrev</name>
<load_address>0xf60</load_address>
<run_address>0xf60</run_address>
<size>0x27</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-18a">
<name>.debug_abbrev</name>
<load_address>0xf87</load_address>
<run_address>0xf87</run_address>
<size>0x4e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-18b">
<name>.debug_abbrev</name>
<load_address>0xfd5</load_address>
<run_address>0xfd5</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-27"/>
</object_component>
<object_component id="oc-18c">
<name>.debug_abbrev</name>
<load_address>0x1010</load_address>
<run_address>0x1010</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-18d">
<name>.debug_abbrev</name>
<load_address>0x107c</load_address>
<run_address>0x107c</run_address>
<size>0x6a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-19d">
<name>.debug_abbrev</name>
<load_address>0x10e6</load_address>
<run_address>0x10e6</run_address>
<size>0x82</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-1a0">
<name>.debug_abbrev</name>
<load_address>0x1168</load_address>
<run_address>0x1168</run_address>
<size>0x49</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1aa">
<name>.debug_abbrev</name>
<load_address>0x11b1</load_address>
<run_address>0x11b1</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1ba">
<name>.debug_abbrev</name>
<load_address>0x122b</load_address>
<run_address>0x122b</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1c0">
<name>.debug_abbrev</name>
<load_address>0x12a5</load_address>
<run_address>0x12a5</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1c1">
<name>.debug_abbrev</name>
<load_address>0x131f</load_address>
<run_address>0x131f</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1cc">
<name>.debug_abbrev</name>
<load_address>0x138b</load_address>
<run_address>0x138b</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1cd">
<name>.debug_abbrev</name>
<load_address>0x13e9</load_address>
<run_address>0x13e9</run_address>
<size>0x78</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1dc">
<name>.debug_abbrev</name>
<load_address>0x1461</load_address>
<run_address>0x1461</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1de">
<name>.debug_abbrev</name>
<load_address>0x14db</load_address>
<run_address>0x14db</run_address>
<size>0x53</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1e2">
<name>.debug_abbrev</name>
<load_address>0x152e</load_address>
<run_address>0x152e</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-28"/>
</object_component>
<object_component id="oc-1e9">
<name>.debug_abbrev</name>
<load_address>0x1569</load_address>
<run_address>0x1569</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1f9">
<name>.debug_abbrev</name>
<load_address>0x15e3</load_address>
<run_address>0x15e3</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1fa">
<name>.debug_abbrev</name>
<load_address>0x165d</load_address>
<run_address>0x165d</run_address>
<size>0x71</size>
<input_file_ref idref="fl-50"/>
</object_component>
<object_component id="oc-20c">
<name>.debug_abbrev</name>
<load_address>0x16ce</load_address>
<run_address>0x16ce</run_address>
<size>0x71</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-20d">
<name>.debug_abbrev</name>
<load_address>0x173f</load_address>
<run_address>0x173f</run_address>
<size>0x7e</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-20e">
<name>.debug_abbrev</name>
<load_address>0x17bd</load_address>
<run_address>0x17bd</run_address>
<size>0x9b</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-211">
<name>.debug_abbrev</name>
<load_address>0x1858</load_address>
<run_address>0x1858</run_address>
<size>0x24</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-216">
<name>.debug_abbrev</name>
<load_address>0x187c</load_address>
<run_address>0x187c</run_address>
<size>0x24</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-21a">
<name>.debug_abbrev</name>
<load_address>0x18a0</load_address>
<run_address>0x18a0</run_address>
<size>0x78</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-21b">
<name>.debug_abbrev</name>
<load_address>0x1918</load_address>
<run_address>0x1918</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-3a"/>
</object_component>
<object_component id="oc-21d">
<name>.debug_abbrev</name>
<load_address>0x1953</load_address>
<run_address>0x1953</run_address>
<size>0x78</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-223">
<name>.debug_abbrev</name>
<load_address>0x19cb</load_address>
<run_address>0x19cb</run_address>
<size>0x78</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-224">
<name>.debug_abbrev</name>
<load_address>0x1a43</load_address>
<run_address>0x1a43</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-225">
<name>.debug_abbrev</name>
<load_address>0x1aaf</load_address>
<run_address>0x1aaf</run_address>
<size>0x78</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-226">
<name>.debug_abbrev</name>
<load_address>0x1b27</load_address>
<run_address>0x1b27</run_address>
<size>0x5e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-227">
<name>.debug_abbrev</name>
<load_address>0x1b85</load_address>
<run_address>0x1b85</run_address>
<size>0x7a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-228">
<name>.debug_abbrev</name>
<load_address>0x1bff</load_address>
<run_address>0x1bff</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-38"/>
</object_component>
<object_component id="oc-229">
<name>.debug_abbrev</name>
<load_address>0x1c3a</load_address>
<run_address>0x1c3a</run_address>
<size>0x6c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-22b">
<name>.debug_abbrev</name>
<load_address>0x1ca6</load_address>
<run_address>0x1ca6</run_address>
<size>0x78</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-22c">
<name>.debug_abbrev</name>
<load_address>0x1d1e</load_address>
<run_address>0x1d1e</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-37"/>
</object_component>
<object_component id="oc-22e">
<name>.debug_abbrev</name>
<load_address>0x1d59</load_address>
<run_address>0x1d59</run_address>
<size>0x78</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-22f">
<name>.debug_abbrev</name>
<load_address>0x1dd1</load_address>
<run_address>0x1dd1</run_address>
<size>0x68</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-230">
<name>.debug_abbrev</name>
<load_address>0x1e39</load_address>
<run_address>0x1e39</run_address>
<size>0x35</size>
<input_file_ref idref="fl-50"/>
</object_component>
<object_component id="oc-232">
<name>.debug_abbrev</name>
<load_address>0x1e6e</load_address>
<run_address>0x1e6e</run_address>
<size>0x71</size>
<input_file_ref idref="fl-4f"/>
</object_component>
<object_component id="oc-23e">
<name>.debug_abbrev</name>
<load_address>0x1edf</load_address>
<run_address>0x1edf</run_address>
<size>0x71</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-23f">
<name>.debug_abbrev</name>
<load_address>0x1f50</load_address>
<run_address>0x1f50</run_address>
<size>0x71</size>
<input_file_ref idref="fl-4e"/>
</object_component>
<object_component id="oc-241">
<name>.debug_abbrev</name>
<load_address>0x1fc1</load_address>
<run_address>0x1fc1</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-3c"/>
</object_component>
<object_component id="oc-242">
<name>.debug_abbrev</name>
<load_address>0x1ffc</load_address>
<run_address>0x1ffc</run_address>
<size>0x35</size>
<input_file_ref idref="fl-4f"/>
</object_component>
<object_component id="oc-244">
<name>.debug_abbrev</name>
<load_address>0x2031</load_address>
<run_address>0x2031</run_address>
<size>0x6f</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-24e">
<name>.debug_abbrev</name>
<load_address>0x20a0</load_address>
<run_address>0x20a0</run_address>
<size>0x71</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-24f">
<name>.debug_abbrev</name>
<load_address>0x2111</load_address>
<run_address>0x2111</run_address>
<size>0x37</size>
<input_file_ref idref="fl-4e"/>
</object_component>
<object_component id="oc-251">
<name>.debug_abbrev</name>
<load_address>0x2148</load_address>
<run_address>0x2148</run_address>
<size>0x74</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-252">
<name>.debug_abbrev</name>
<load_address>0x21bc</load_address>
<run_address>0x21bc</run_address>
<size>0x3b</size>
<input_file_ref idref="fl-47"/>
</object_component>
<object_component id="oc-28e">
<name>.debug_abbrev</name>
<load_address>0x21f7</load_address>
<run_address>0x21f7</run_address>
<size>0xf</size>
</object_component>
<object_component id="oc-78">
<name>.debug_str</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0xb5</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-7f">
<name>.debug_str</name>
<load_address>0xb5</load_address>
<run_address>0xb5</run_address>
<size>0xd0</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-8c">
<name>.debug_str</name>
<load_address>0x185</load_address>
<run_address>0x185</run_address>
<size>0xa7</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-93">
<name>.debug_str</name>
<load_address>0x22c</load_address>
<run_address>0x22c</run_address>
<size>0xf7</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-dc">
<name>.debug_str</name>
<load_address>0x323</load_address>
<run_address>0x323</run_address>
<size>0x1ba</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-e3">
<name>.debug_str</name>
<load_address>0x4dd</load_address>
<run_address>0x4dd</run_address>
<size>0xbd</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-e8">
<name>.debug_str</name>
<load_address>0x59a</load_address>
<run_address>0x59a</run_address>
<size>0x9c</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-113">
<name>.debug_str</name>
<load_address>0x636</load_address>
<run_address>0x636</run_address>
<size>0x12f</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-118">
<name>.debug_str</name>
<load_address>0x765</load_address>
<run_address>0x765</run_address>
<size>0xe4</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-11d">
<name>.debug_str</name>
<load_address>0x849</load_address>
<run_address>0x849</run_address>
<size>0xb9</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-122">
<name>.debug_str</name>
<load_address>0x902</load_address>
<run_address>0x902</run_address>
<size>0xbe</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-15a">
<name>.debug_str</name>
<load_address>0x9c0</load_address>
<run_address>0x9c0</run_address>
<size>0x94</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-1a2">
<name>.debug_str</name>
<load_address>0xa54</load_address>
<run_address>0xa54</run_address>
<size>0xda</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1e0">
<name>.debug_str</name>
<load_address>0xb2e</load_address>
<run_address>0xb2e</run_address>
<size>0xd8</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-213">
<name>.debug_str</name>
<load_address>0xc06</load_address>
<run_address>0xc06</run_address>
<size>0x9b</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-218">
<name>.debug_str</name>
<load_address>0xca1</load_address>
<run_address>0xca1</run_address>
<size>0xad</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-79">
<name>.debug_pubtypes</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x48</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-80">
<name>.debug_pubtypes</name>
<load_address>0x48</load_address>
<run_address>0x48</run_address>
<size>0x48</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-8d">
<name>.debug_pubtypes</name>
<load_address>0x90</load_address>
<run_address>0x90</run_address>
<size>0x37</size>
<input_file_ref idref="fl-4c"/>
</object_component>
<object_component id="oc-94">
<name>.debug_pubtypes</name>
<load_address>0xc7</load_address>
<run_address>0xc7</run_address>
<size>0xed</size>
<input_file_ref idref="fl-22"/>
</object_component>
<object_component id="oc-dd">
<name>.debug_pubtypes</name>
<load_address>0x1b4</load_address>
<run_address>0x1b4</run_address>
<size>0x3c</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-e4">
<name>.debug_pubtypes</name>
<load_address>0x1f0</load_address>
<run_address>0x1f0</run_address>
<size>0x1d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-e9">
<name>.debug_pubtypes</name>
<load_address>0x20d</load_address>
<run_address>0x20d</run_address>
<size>0x1d</size>
<input_file_ref idref="fl-4b"/>
</object_component>
<object_component id="oc-114">
<name>.debug_pubtypes</name>
<load_address>0x22a</load_address>
<run_address>0x22a</run_address>
<size>0x33</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-119">
<name>.debug_pubtypes</name>
<load_address>0x25d</load_address>
<run_address>0x25d</run_address>
<size>0x84</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-11e">
<name>.debug_pubtypes</name>
<load_address>0x2e1</load_address>
<run_address>0x2e1</run_address>
<size>0x1d</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-123">
<name>.debug_pubtypes</name>
<load_address>0x2fe</load_address>
<run_address>0x2fe</run_address>
<size>0x1e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-15b">
<name>.debug_pubtypes</name>
<load_address>0x31c</load_address>
<run_address>0x31c</run_address>
<size>0x2f</size>
<input_file_ref idref="fl-44"/>
</object_component>
<object_component id="oc-1a3">
<name>.debug_pubtypes</name>
<load_address>0x34b</load_address>
<run_address>0x34b</run_address>
<size>0x1e</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-1e1">
<name>.debug_pubtypes</name>
<load_address>0x369</load_address>
<run_address>0x369</run_address>
<size>0x2a</size>
<input_file_ref idref="fl-49"/>
</object_component>
<object_component id="oc-214">
<name>.debug_pubtypes</name>
<load_address>0x393</load_address>
<run_address>0x393</run_address>
<size>0x1d</size>
<input_file_ref idref="fl-4a"/>
</object_component>
<object_component id="oc-219">
<name>.debug_pubtypes</name>
<load_address>0x3b0</load_address>
<run_address>0x3b0</run_address>
<size>0x27</size>
<input_file_ref idref="fl-49"/>
</object_component>
</object_component_list>
<logical_group_list>
<logical_group id="lg-4" display="no" color="cyan">
<name>.sysmem</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-5" display="no" color="cyan">
<name>.stack</name>
<run_address>0x3b0</run_address>
<size>0x50</size>
<contents>
<object_component_ref idref="oc-4e"/>
<object_component_ref idref="oc-28c"/>
</contents>
</logical_group>
<logical_group id="lg-6" display="no" color="cyan">
<name>.text</name>
<load_address>0xc000</load_address>
<run_address>0xc000</run_address>
<size>0x1cf0</size>
<contents>
<object_component_ref idref="oc-b0"/>
<object_component_ref idref="oc-64"/>
<object_component_ref idref="oc-cd"/>
<object_component_ref idref="oc-1a5"/>
<object_component_ref idref="oc-95"/>
<object_component_ref idref="oc-13f"/>
<object_component_ref idref="oc-193"/>
<object_component_ref idref="oc-17d"/>
<object_component_ref idref="oc-1b0"/>
<object_component_ref idref="oc-15f"/>
<object_component_ref idref="oc-133"/>
<object_component_ref idref="oc-169"/>
<object_component_ref idref="oc-1f4"/>
<object_component_ref idref="oc-51"/>
<object_component_ref idref="oc-13a"/>
<object_component_ref idref="oc-1ef"/>
<object_component_ref idref="oc-164"/>
<object_component_ref idref="oc-f6"/>
<object_component_ref idref="oc-176"/>
<object_component_ref idref="oc-82"/>
<object_component_ref idref="oc-5f"/>
<object_component_ref idref="oc-1ce"/>
<object_component_ref idref="oc-f1"/>
<object_component_ref idref="oc-1ac"/>
<object_component_ref idref="oc-16e"/>
<object_component_ref idref="oc-239"/>
<object_component_ref idref="oc-aa"/>
<object_component_ref idref="oc-202"/>
<object_component_ref idref="oc-fb"/>
<object_component_ref idref="oc-d2"/>
<object_component_ref idref="oc-1c2"/>
<object_component_ref idref="oc-198"/>
<object_component_ref idref="oc-c8"/>
<object_component_ref idref="oc-c3"/>
<object_component_ref idref="oc-1e4"/>
<object_component_ref idref="oc-1d3"/>
<object_component_ref idref="oc-b5"/>
<object_component_ref idref="oc-129"/>
<object_component_ref idref="oc-1c7"/>
<object_component_ref idref="oc-234"/>
<object_component_ref idref="oc-14e"/>
<object_component_ref idref="oc-1bb"/>
<object_component_ref idref="oc-1b5"/>
<object_component_ref idref="oc-a4"/>
<object_component_ref idref="oc-1eb"/>
<object_component_ref idref="oc-9e"/>
<object_component_ref idref="oc-144"/>
<object_component_ref idref="oc-1d7"/>
<object_component_ref idref="oc-21f"/>
<object_component_ref idref="oc-12e"/>
<object_component_ref idref="oc-207"/>
<object_component_ref idref="oc-3a"/>
<object_component_ref idref="oc-245"/>
<object_component_ref idref="oc-124"/>
<object_component_ref idref="oc-44"/>
<object_component_ref idref="oc-1fc"/>
<object_component_ref idref="oc-24a"/>
<object_component_ref idref="oc-3f"/>
<object_component_ref idref="oc-6f"/>
<object_component_ref idref="oc-bd"/>
<object_component_ref idref="oc-18e"/>
<object_component_ref idref="oc-56"/>
<object_component_ref idref="oc-49"/>
<object_component_ref idref="oc-5a"/>
<object_component_ref idref="oc-69"/>
<object_component_ref idref="oc-104"/>
</contents>
</logical_group>
<logical_group id="lg-7" display="no" color="cyan">
<name>.cinit</name>
<load_address>0xddd8</load_address>
<run_address>0xddd8</run_address>
<size>0x1e</size>
<contents>
<object_component_ref idref="oc-28b"/>
<object_component_ref idref="oc-288"/>
<object_component_ref idref="oc-28a"/>
<object_component_ref idref="oc-289"/>
</contents>
</logical_group>
<logical_group id="lg-8" display="no" color="cyan">
<name>.const</name>
<load_address>0xdcf0</load_address>
<run_address>0xdcf0</run_address>
<size>0xe8</size>
<contents>
<object_component_ref idref="oc-a8"/>
<object_component_ref idref="oc-101"/>
<object_component_ref idref="oc-ff"/>
<object_component_ref idref="oc-201"/>
</contents>
</logical_group>
<logical_group id="lg-9" display="no" color="cyan">
<name>.cio</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-a" display="no" color="cyan">
<name>.pinit</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-b" display="no" color="cyan">
<name>.init_array</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-c" display="no" color="cyan">
<name>.mspabi.exidx</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-d" display="no" color="cyan">
<name>.mspabi.extab</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-e" display="no" color="cyan">
<name>.infoA</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-f" display="no" color="cyan">
<name>.infoB</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-10" display="no" color="cyan">
<name>.infoC</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-11" display="no" color="cyan">
<name>.infoD</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-12" display="no" color="cyan">
<name>.int00</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-13" display="no" color="cyan">
<name>.int01</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-14" display="no" color="cyan">
<name>PORT1</name>
<load_address>0xffe4</load_address>
<run_address>0xffe4</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-2e"/>
</contents>
</logical_group>
<logical_group id="lg-16" display="no" color="cyan">
<name>PORT2</name>
<load_address>0xffe6</load_address>
<run_address>0xffe6</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-2f"/>
</contents>
</logical_group>
<logical_group id="lg-18" display="no" color="cyan">
<name>.int04</name>
<run_address>0x0</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-19" display="no" color="cyan">
<name>ADC10</name>
<load_address>0xffea</load_address>
<run_address>0xffea</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-30"/>
</contents>
</logical_group>
<logical_group id="lg-1b" display="no" color="cyan">
<name>USCIAB0TX</name>
<load_address>0xffec</load_address>
<run_address>0xffec</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-31"/>
</contents>
</logical_group>
<logical_group id="lg-1d" display="no" color="cyan">
<name>USCIAB0RX</name>
<load_address>0xffee</load_address>
<run_address>0xffee</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-32"/>
</contents>
</logical_group>
<logical_group id="lg-1f" display="no" color="cyan">
<name>TIMER0_A1</name>
<load_address>0xfff0</load_address>
<run_address>0xfff0</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-33"/>
</contents>
</logical_group>
<logical_group id="lg-21" display="no" color="cyan">
<name>TIMER0_A0</name>
<load_address>0xfff2</load_address>
<run_address>0xfff2</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-34"/>
</contents>
</logical_group>
<logical_group id="lg-23" display="no" color="cyan">
<name>WDT</name>
<load_address>0xfff4</load_address>
<run_address>0xfff4</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-35"/>
</contents>
</logical_group>
<logical_group id="lg-25" display="no" color="cyan">
<name>COMPARATORA</name>
<load_address>0xfff6</load_address>
<run_address>0xfff6</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-36"/>
</contents>
</logical_group>
<logical_group id="lg-27" display="no" color="cyan">
<name>TIMER1_A1</name>
<load_address>0xfff8</load_address>
<run_address>0xfff8</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-37"/>
</contents>
</logical_group>
<logical_group id="lg-29" display="no" color="cyan">
<name>TIMER1_A0</name>
<load_address>0xfffa</load_address>
<run_address>0xfffa</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-38"/>
</contents>
</logical_group>
<logical_group id="lg-2b" display="no" color="cyan">
<name>NMI</name>
<load_address>0xfffc</load_address>
<run_address>0xfffc</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-39"/>
</contents>
</logical_group>
<logical_group id="lg-2d" display="no" color="cyan">
<name>.reset</name>
<load_address>0xfffe</load_address>
<run_address>0xfffe</run_address>
<size>0x2</size>
<contents>
<object_component_ref idref="oc-50"/>
</contents>
</logical_group>
<logical_group id="lg-254" display="no" color="cyan">
<name>.TI.noinit</name>
<run_address>0x200</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-2" display="no" color="cyan">
<name>.bss</name>
<run_address>0x200</run_address>
<size>0x18</size>
<contents>
<object_component_ref idref="oc-22d"/>
<object_component_ref idref="oc-17b"/>
<object_component_ref idref="oc-17c"/>
<object_component_ref idref="oc-af"/>
<object_component_ref idref="oc-14c"/>
</contents>
</logical_group>
<logical_group id="lg-253" display="no" color="cyan">
<name>BSS_GROUP</name>
<run_address>0x200</run_address>
<size>0x18</size>
<contents>
<logical_group_ref idref="lg-254"/>
<logical_group_ref idref="lg-2"/>
</contents>
</logical_group>
<logical_group id="lg-256" display="no" color="cyan">
<name>.TI.persistent</name>
<run_address>0x218</run_address>
<size>0x0</size>
<contents>
</contents>
</logical_group>
<logical_group id="lg-3" display="no" color="cyan">
<name>.data</name>
<run_address>0x218</run_address>
<size>0x8</size>
<contents>
<object_component_ref idref="oc-9a"/>
<object_component_ref idref="oc-9c"/>
</contents>
</logical_group>
<logical_group id="lg-255" display="no" color="cyan">
<name>DATA_GROUP</name>
<load_address>0x218</load_address>
<run_address>0x218</run_address>
<size>0x8</size>
<contents>
<logical_group_ref idref="lg-256"/>
<logical_group_ref idref="lg-3"/>
</contents>
</logical_group>
<logical_group id="lg-27a" display="never" color="cyan">
<name>.debug_aranges</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x840</size>
<contents>
<object_component_ref idref="oc-3b"/>
<object_component_ref idref="oc-43"/>
<object_component_ref idref="oc-46"/>
<object_component_ref idref="oc-4a"/>
<object_component_ref idref="oc-52"/>
<object_component_ref idref="oc-58"/>
<object_component_ref idref="oc-5b"/>
<object_component_ref idref="oc-63"/>
<object_component_ref idref="oc-65"/>
<object_component_ref idref="oc-6c"/>
<object_component_ref idref="oc-70"/>
<object_component_ref idref="oc-84"/>
<object_component_ref idref="oc-96"/>
<object_component_ref idref="oc-9f"/>
<object_component_ref idref="oc-a6"/>
<object_component_ref idref="oc-ab"/>
<object_component_ref idref="oc-b1"/>
<object_component_ref idref="oc-b6"/>
<object_component_ref idref="oc-bf"/>
<object_component_ref idref="oc-c4"/>
<object_component_ref idref="oc-c9"/>
<object_component_ref idref="oc-ce"/>
<object_component_ref idref="oc-d3"/>
<object_component_ref idref="oc-f2"/>
<object_component_ref idref="oc-f7"/>
<object_component_ref idref="oc-fc"/>
<object_component_ref idref="oc-105"/>
<object_component_ref idref="oc-126"/>
<object_component_ref idref="oc-12a"/>
<object_component_ref idref="oc-12f"/>
<object_component_ref idref="oc-137"/>
<object_component_ref idref="oc-13b"/>
<object_component_ref idref="oc-140"/>
<object_component_ref idref="oc-145"/>
<object_component_ref idref="oc-14f"/>
<object_component_ref idref="oc-160"/>
<object_component_ref idref="oc-165"/>
<object_component_ref idref="oc-16a"/>
<object_component_ref idref="oc-171"/>
<object_component_ref idref="oc-177"/>
<object_component_ref idref="oc-17e"/>
<object_component_ref idref="oc-192"/>
<object_component_ref idref="oc-197"/>
<object_component_ref idref="oc-19c"/>
<object_component_ref idref="oc-1a6"/>
<object_component_ref idref="oc-1ad"/>
<object_component_ref idref="oc-1b1"/>
<object_component_ref idref="oc-1b6"/>
<object_component_ref idref="oc-1bc"/>
<object_component_ref idref="oc-1c3"/>
<object_component_ref idref="oc-1c8"/>
<object_component_ref idref="oc-1cf"/>
<object_component_ref idref="oc-1d6"/>
<object_component_ref idref="oc-1d8"/>
<object_component_ref idref="oc-1e5"/>
<object_component_ref idref="oc-1ec"/>
<object_component_ref idref="oc-1f0"/>
<object_component_ref idref="oc-1f5"/>
<object_component_ref idref="oc-200"/>
<object_component_ref idref="oc-206"/>
<object_component_ref idref="oc-20a"/>
<object_component_ref idref="oc-222"/>
<object_component_ref idref="oc-238"/>
<object_component_ref idref="oc-23d"/>
<object_component_ref idref="oc-249"/>
<object_component_ref idref="oc-24d"/>
</contents>
</logical_group>
<logical_group id="lg-27c" display="never" color="cyan">
<name>.debug_line</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x2256</size>
<contents>
<object_component_ref idref="oc-3c"/>
<object_component_ref idref="oc-42"/>
<object_component_ref idref="oc-47"/>
<object_component_ref idref="oc-4d"/>
<object_component_ref idref="oc-54"/>
<object_component_ref idref="oc-59"/>
<object_component_ref idref="oc-5d"/>
<object_component_ref idref="oc-60"/>
<object_component_ref idref="oc-67"/>
<object_component_ref idref="oc-6a"/>
<object_component_ref idref="oc-72"/>
<object_component_ref idref="oc-77"/>
<object_component_ref idref="oc-7e"/>
<object_component_ref idref="oc-85"/>
<object_component_ref idref="oc-8b"/>
<object_component_ref idref="oc-8f"/>
<object_component_ref idref="oc-92"/>
<object_component_ref idref="oc-98"/>
<object_component_ref idref="oc-a1"/>
<object_component_ref idref="oc-a7"/>
<object_component_ref idref="oc-ad"/>
<object_component_ref idref="oc-b3"/>
<object_component_ref idref="oc-b8"/>
<object_component_ref idref="oc-be"/>
<object_component_ref idref="oc-c6"/>
<object_component_ref idref="oc-cb"/>
<object_component_ref idref="oc-d0"/>
<object_component_ref idref="oc-d5"/>
<object_component_ref idref="oc-db"/>
<object_component_ref idref="oc-e2"/>
<object_component_ref idref="oc-e7"/>
<object_component_ref idref="oc-eb"/>
<object_component_ref idref="oc-ed"/>
<object_component_ref idref="oc-f0"/>
<object_component_ref idref="oc-f4"/>
<object_component_ref idref="oc-f9"/>
<object_component_ref idref="oc-fe"/>
<object_component_ref idref="oc-107"/>
<object_component_ref idref="oc-10a"/>
<object_component_ref idref="oc-10c"/>
<object_component_ref idref="oc-10f"/>
<object_component_ref idref="oc-112"/>
<object_component_ref idref="oc-117"/>
<object_component_ref idref="oc-11c"/>
<object_component_ref idref="oc-121"/>
<object_component_ref idref="oc-125"/>
<object_component_ref idref="oc-12c"/>
<object_component_ref idref="oc-131"/>
<object_component_ref idref="oc-135"/>
<object_component_ref idref="oc-13d"/>
<object_component_ref idref="oc-142"/>
<object_component_ref idref="oc-147"/>
<object_component_ref idref="oc-151"/>
<object_component_ref idref="oc-156"/>
<object_component_ref idref="oc-159"/>
<object_component_ref idref="oc-162"/>
<object_component_ref idref="oc-167"/>
<object_component_ref idref="oc-16c"/>
<object_component_ref idref="oc-170"/>
<object_component_ref idref="oc-179"/>
<object_component_ref idref="oc-180"/>
<object_component_ref idref="oc-187"/>
<object_component_ref idref="oc-189"/>
<object_component_ref idref="oc-191"/>
<object_component_ref idref="oc-196"/>
<object_component_ref idref="oc-19a"/>
<object_component_ref idref="oc-1a1"/>
<object_component_ref idref="oc-1a8"/>
<object_component_ref idref="oc-1af"/>
<object_component_ref idref="oc-1b3"/>
<object_component_ref idref="oc-1b8"/>
<object_component_ref idref="oc-1be"/>
<object_component_ref idref="oc-1c5"/>
<object_component_ref idref="oc-1ca"/>
<object_component_ref idref="oc-1d1"/>
<object_component_ref idref="oc-1d5"/>
<object_component_ref idref="oc-1da"/>
<object_component_ref idref="oc-1df"/>
<object_component_ref idref="oc-1e7"/>
<object_component_ref idref="oc-1ed"/>
<object_component_ref idref="oc-1f2"/>
<object_component_ref idref="oc-1f7"/>
<object_component_ref idref="oc-1fd"/>
<object_component_ref idref="oc-204"/>
<object_component_ref idref="oc-209"/>
<object_component_ref idref="oc-20f"/>
<object_component_ref idref="oc-212"/>
<object_component_ref idref="oc-217"/>
<object_component_ref idref="oc-221"/>
<object_component_ref idref="oc-231"/>
<object_component_ref idref="oc-235"/>
<object_component_ref idref="oc-23b"/>
<object_component_ref idref="oc-243"/>
<object_component_ref idref="oc-248"/>
<object_component_ref idref="oc-24c"/>
<object_component_ref idref="oc-250"/>
</contents>
</logical_group>
<logical_group id="lg-27e" display="never" color="cyan">
<name>.debug_info</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x7fc6</size>
<contents>
<object_component_ref idref="oc-3d"/>
<object_component_ref idref="oc-41"/>
<object_component_ref idref="oc-45"/>
<object_component_ref idref="oc-4b"/>
<object_component_ref idref="oc-4f"/>
<object_component_ref idref="oc-53"/>
<object_component_ref idref="oc-57"/>
<object_component_ref idref="oc-5c"/>
<object_component_ref idref="oc-61"/>
<object_component_ref idref="oc-66"/>
<object_component_ref idref="oc-6d"/>
<object_component_ref idref="oc-71"/>
<object_component_ref idref="oc-75"/>
<object_component_ref idref="oc-7a"/>
<object_component_ref idref="oc-7c"/>
<object_component_ref idref="oc-81"/>
<object_component_ref idref="oc-83"/>
<object_component_ref idref="oc-88"/>
<object_component_ref idref="oc-89"/>
<object_component_ref idref="oc-90"/>
<object_component_ref idref="oc-97"/>
<object_component_ref idref="oc-9b"/>
<object_component_ref idref="oc-9d"/>
<object_component_ref idref="oc-a0"/>
<object_component_ref idref="oc-a3"/>
<object_component_ref idref="oc-a5"/>
<object_component_ref idref="oc-ac"/>
<object_component_ref idref="oc-b2"/>
<object_component_ref idref="oc-b7"/>
<object_component_ref idref="oc-c0"/>
<object_component_ref idref="oc-c2"/>
<object_component_ref idref="oc-c5"/>
<object_component_ref idref="oc-ca"/>
<object_component_ref idref="oc-cf"/>
<object_component_ref idref="oc-d4"/>
<object_component_ref idref="oc-d9"/>
<object_component_ref idref="oc-e0"/>
<object_component_ref idref="oc-e5"/>
<object_component_ref idref="oc-f3"/>
<object_component_ref idref="oc-f8"/>
<object_component_ref idref="oc-fd"/>
<object_component_ref idref="oc-100"/>
<object_component_ref idref="oc-102"/>
<object_component_ref idref="oc-106"/>
<object_component_ref idref="oc-110"/>
<object_component_ref idref="oc-115"/>
<object_component_ref idref="oc-11a"/>
<object_component_ref idref="oc-11f"/>
<object_component_ref idref="oc-127"/>
<object_component_ref idref="oc-12b"/>
<object_component_ref idref="oc-130"/>
<object_component_ref idref="oc-134"/>
<object_component_ref idref="oc-13c"/>
<object_component_ref idref="oc-141"/>
<object_component_ref idref="oc-146"/>
<object_component_ref idref="oc-150"/>
<object_component_ref idref="oc-157"/>
<object_component_ref idref="oc-161"/>
<object_component_ref idref="oc-166"/>
<object_component_ref idref="oc-16b"/>
<object_component_ref idref="oc-16f"/>
<object_component_ref idref="oc-178"/>
<object_component_ref idref="oc-17f"/>
<object_component_ref idref="oc-18f"/>
<object_component_ref idref="oc-194"/>
<object_component_ref idref="oc-199"/>
<object_component_ref idref="oc-19e"/>
<object_component_ref idref="oc-19f"/>
<object_component_ref idref="oc-1a7"/>
<object_component_ref idref="oc-1ae"/>
<object_component_ref idref="oc-1b2"/>
<object_component_ref idref="oc-1b7"/>
<object_component_ref idref="oc-1bd"/>
<object_component_ref idref="oc-1c4"/>
<object_component_ref idref="oc-1c9"/>
<object_component_ref idref="oc-1d0"/>
<object_component_ref idref="oc-1d4"/>
<object_component_ref idref="oc-1d9"/>
<object_component_ref idref="oc-1dd"/>
<object_component_ref idref="oc-1e6"/>
<object_component_ref idref="oc-1ee"/>
<object_component_ref idref="oc-1f1"/>
<object_component_ref idref="oc-1f6"/>
<object_component_ref idref="oc-1fb"/>
<object_component_ref idref="oc-1ff"/>
<object_component_ref idref="oc-203"/>
<object_component_ref idref="oc-208"/>
<object_component_ref idref="oc-210"/>
<object_component_ref idref="oc-215"/>
<object_component_ref idref="oc-220"/>
<object_component_ref idref="oc-233"/>
<object_component_ref idref="oc-236"/>
<object_component_ref idref="oc-23a"/>
<object_component_ref idref="oc-240"/>
<object_component_ref idref="oc-246"/>
<object_component_ref idref="oc-24b"/>
<object_component_ref idref="oc-28d"/>
</contents>
</logical_group>
<logical_group id="lg-280" display="never" color="cyan">
<name>.debug_frame</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x1247</size>
<contents>
<object_component_ref idref="oc-3e"/>
<object_component_ref idref="oc-40"/>
<object_component_ref idref="oc-48"/>
<object_component_ref idref="oc-4c"/>
<object_component_ref idref="oc-55"/>
<object_component_ref idref="oc-5e"/>
<object_component_ref idref="oc-62"/>
<object_component_ref idref="oc-68"/>
<object_component_ref idref="oc-6b"/>
<object_component_ref idref="oc-73"/>
<object_component_ref idref="oc-86"/>
<object_component_ref idref="oc-99"/>
<object_component_ref idref="oc-ae"/>
<object_component_ref idref="oc-b4"/>
<object_component_ref idref="oc-b9"/>
<object_component_ref idref="oc-c7"/>
<object_component_ref idref="oc-cc"/>
<object_component_ref idref="oc-d1"/>
<object_component_ref idref="oc-d6"/>
<object_component_ref idref="oc-f5"/>
<object_component_ref idref="oc-fa"/>
<object_component_ref idref="oc-108"/>
<object_component_ref idref="oc-12d"/>
<object_component_ref idref="oc-132"/>
<object_component_ref idref="oc-136"/>
<object_component_ref idref="oc-13e"/>
<object_component_ref idref="oc-143"/>
<object_component_ref idref="oc-148"/>
<object_component_ref idref="oc-152"/>
<object_component_ref idref="oc-163"/>
<object_component_ref idref="oc-168"/>
<object_component_ref idref="oc-16d"/>
<object_component_ref idref="oc-17a"/>
<object_component_ref idref="oc-181"/>
<object_component_ref idref="oc-190"/>
<object_component_ref idref="oc-195"/>
<object_component_ref idref="oc-19b"/>
<object_component_ref idref="oc-1a9"/>
<object_component_ref idref="oc-1b4"/>
<object_component_ref idref="oc-1b9"/>
<object_component_ref idref="oc-1bf"/>
<object_component_ref idref="oc-1c6"/>
<object_component_ref idref="oc-1cb"/>
<object_component_ref idref="oc-1d2"/>
<object_component_ref idref="oc-1db"/>
<object_component_ref idref="oc-1e8"/>
<object_component_ref idref="oc-1f3"/>
<object_component_ref idref="oc-1f8"/>
<object_component_ref idref="oc-1fe"/>
<object_component_ref idref="oc-205"/>
<object_component_ref idref="oc-20b"/>
<object_component_ref idref="oc-237"/>
<object_component_ref idref="oc-23c"/>
<object_component_ref idref="oc-247"/>
</contents>
</logical_group>
<logical_group id="lg-282" display="never" color="cyan">
<name>.debug_abbrev</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x2206</size>
<contents>
<object_component_ref idref="oc-6e"/>
<object_component_ref idref="oc-74"/>
<object_component_ref idref="oc-76"/>
<object_component_ref idref="oc-7b"/>
<object_component_ref idref="oc-7d"/>
<object_component_ref idref="oc-87"/>
<object_component_ref idref="oc-8a"/>
<object_component_ref idref="oc-8e"/>
<object_component_ref idref="oc-91"/>
<object_component_ref idref="oc-ba"/>
<object_component_ref idref="oc-bb"/>
<object_component_ref idref="oc-bc"/>
<object_component_ref idref="oc-c1"/>
<object_component_ref idref="oc-d8"/>
<object_component_ref idref="oc-da"/>
<object_component_ref idref="oc-de"/>
<object_component_ref idref="oc-df"/>
<object_component_ref idref="oc-e1"/>
<object_component_ref idref="oc-e6"/>
<object_component_ref idref="oc-ea"/>
<object_component_ref idref="oc-ec"/>
<object_component_ref idref="oc-ee"/>
<object_component_ref idref="oc-ef"/>
<object_component_ref idref="oc-103"/>
<object_component_ref idref="oc-109"/>
<object_component_ref idref="oc-10b"/>
<object_component_ref idref="oc-10d"/>
<object_component_ref idref="oc-10e"/>
<object_component_ref idref="oc-111"/>
<object_component_ref idref="oc-116"/>
<object_component_ref idref="oc-11b"/>
<object_component_ref idref="oc-120"/>
<object_component_ref idref="oc-128"/>
<object_component_ref idref="oc-138"/>
<object_component_ref idref="oc-14d"/>
<object_component_ref idref="oc-153"/>
<object_component_ref idref="oc-154"/>
<object_component_ref idref="oc-155"/>
<object_component_ref idref="oc-158"/>
<object_component_ref idref="oc-15c"/>
<object_component_ref idref="oc-15d"/>
<object_component_ref idref="oc-173"/>
<object_component_ref idref="oc-182"/>
<object_component_ref idref="oc-183"/>
<object_component_ref idref="oc-184"/>
<object_component_ref idref="oc-185"/>
<object_component_ref idref="oc-186"/>
<object_component_ref idref="oc-188"/>
<object_component_ref idref="oc-18a"/>
<object_component_ref idref="oc-18b"/>
<object_component_ref idref="oc-18c"/>
<object_component_ref idref="oc-18d"/>
<object_component_ref idref="oc-19d"/>
<object_component_ref idref="oc-1a0"/>
<object_component_ref idref="oc-1aa"/>
<object_component_ref idref="oc-1ba"/>
<object_component_ref idref="oc-1c0"/>
<object_component_ref idref="oc-1c1"/>
<object_component_ref idref="oc-1cc"/>
<object_component_ref idref="oc-1cd"/>
<object_component_ref idref="oc-1dc"/>
<object_component_ref idref="oc-1de"/>
<object_component_ref idref="oc-1e2"/>
<object_component_ref idref="oc-1e9"/>
<object_component_ref idref="oc-1f9"/>
<object_component_ref idref="oc-1fa"/>
<object_component_ref idref="oc-20c"/>
<object_component_ref idref="oc-20d"/>
<object_component_ref idref="oc-20e"/>
<object_component_ref idref="oc-211"/>
<object_component_ref idref="oc-216"/>
<object_component_ref idref="oc-21a"/>
<object_component_ref idref="oc-21b"/>
<object_component_ref idref="oc-21d"/>
<object_component_ref idref="oc-223"/>
<object_component_ref idref="oc-224"/>
<object_component_ref idref="oc-225"/>
<object_component_ref idref="oc-226"/>
<object_component_ref idref="oc-227"/>
<object_component_ref idref="oc-228"/>
<object_component_ref idref="oc-229"/>
<object_component_ref idref="oc-22b"/>
<object_component_ref idref="oc-22c"/>
<object_component_ref idref="oc-22e"/>
<object_component_ref idref="oc-22f"/>
<object_component_ref idref="oc-230"/>
<object_component_ref idref="oc-232"/>
<object_component_ref idref="oc-23e"/>
<object_component_ref idref="oc-23f"/>
<object_component_ref idref="oc-241"/>
<object_component_ref idref="oc-242"/>
<object_component_ref idref="oc-244"/>
<object_component_ref idref="oc-24e"/>
<object_component_ref idref="oc-24f"/>
<object_component_ref idref="oc-251"/>
<object_component_ref idref="oc-252"/>
<object_component_ref idref="oc-28e"/>
</contents>
</logical_group>
<logical_group id="lg-284" display="never" color="cyan">
<name>.debug_str</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0xd4e</size>
<contents>
<object_component_ref idref="oc-78"/>
<object_component_ref idref="oc-7f"/>
<object_component_ref idref="oc-8c"/>
<object_component_ref idref="oc-93"/>
<object_component_ref idref="oc-dc"/>
<object_component_ref idref="oc-e3"/>
<object_component_ref idref="oc-e8"/>
<object_component_ref idref="oc-113"/>
<object_component_ref idref="oc-118"/>
<object_component_ref idref="oc-11d"/>
<object_component_ref idref="oc-122"/>
<object_component_ref idref="oc-15a"/>
<object_component_ref idref="oc-1a2"/>
<object_component_ref idref="oc-1e0"/>
<object_component_ref idref="oc-213"/>
<object_component_ref idref="oc-218"/>
</contents>
</logical_group>
<logical_group id="lg-286" display="never" color="cyan">
<name>.debug_pubtypes</name>
<load_address>0x0</load_address>
<run_address>0x0</run_address>
<size>0x3d7</size>
<contents>
<object_component_ref idref="oc-79"/>
<object_component_ref idref="oc-80"/>
<object_component_ref idref="oc-8d"/>
<object_component_ref idref="oc-94"/>
<object_component_ref idref="oc-dd"/>
<object_component_ref idref="oc-e4"/>
<object_component_ref idref="oc-e9"/>
<object_component_ref idref="oc-114"/>
<object_component_ref idref="oc-119"/>
<object_component_ref idref="oc-11e"/>
<object_component_ref idref="oc-123"/>
<object_component_ref idref="oc-15b"/>
<object_component_ref idref="oc-1a3"/>
<object_component_ref idref="oc-1e1"/>
<object_component_ref idref="oc-214"/>
<object_component_ref idref="oc-219"/>
</contents>
</logical_group>
<load_segment id="lg-28f" display="no" color="cyan">
<name>SEGMENT_0</name>
<run_address>0x200</run_address>
<size>0x20</size>
<flags>0x6</flags>
<contents>
<logical_group_ref idref="lg-2"/>
<logical_group_ref idref="lg-3"/>
</contents>
</load_segment>
<load_segment id="lg-290" display="no" color="cyan">
<name>SEGMENT_1</name>
<run_address>0x3b0</run_address>
<size>0x50</size>
<flags>0x6</flags>
<contents>
<logical_group_ref idref="lg-5"/>
</contents>
</load_segment>
<load_segment id="lg-291" display="no" color="cyan">
<name>SEGMENT_2</name>
<load_address>0xc000</load_address>
<run_address>0xc000</run_address>
<size>0x1df6</size>
<flags>0x5</flags>
<contents>
<logical_group_ref idref="lg-6"/>
<logical_group_ref idref="lg-8"/>
<logical_group_ref idref="lg-7"/>
</contents>
</load_segment>
<load_segment id="lg-292" display="no" color="cyan">
<name>SEGMENT_3</name>
<load_address>0xffe4</load_address>
<run_address>0xffe4</run_address>
<size>0x4</size>
<flags>0x4</flags>
<contents>
<logical_group_ref idref="lg-14"/>
<logical_group_ref idref="lg-16"/>
</contents>
</load_segment>
<load_segment id="lg-293" display="no" color="cyan">
<name>SEGMENT_4</name>
<load_address>0xffea</load_address>
<run_address>0xffea</run_address>
<size>0x16</size>
<flags>0x4</flags>
<contents>
<logical_group_ref idref="lg-19"/>
<logical_group_ref idref="lg-1b"/>
<logical_group_ref idref="lg-1d"/>
<logical_group_ref idref="lg-1f"/>
<logical_group_ref idref="lg-21"/>
<logical_group_ref idref="lg-23"/>
<logical_group_ref idref="lg-25"/>
<logical_group_ref idref="lg-27"/>
<logical_group_ref idref="lg-29"/>
<logical_group_ref idref="lg-2b"/>
<logical_group_ref idref="lg-2d"/>
</contents>
</load_segment>
</logical_group_list>
<placement_map>
<memory_area display="no" color="green">
<name>SFR</name>
<page_id>0x0</page_id>
<origin>0x0</origin>
<length>0x10</length>
<used_space>0x0</used_space>
<unused_space>0x10</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>PERIPHERALS_8BIT</name>
<page_id>0x0</page_id>
<origin>0x10</origin>
<length>0xf0</length>
<used_space>0x0</used_space>
<unused_space>0xf0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>PERIPHERALS_16BIT</name>
<page_id>0x0</page_id>
<origin>0x100</origin>
<length>0x100</length>
<used_space>0x0</used_space>
<unused_space>0x100</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>RAM</name>
<page_id>0x0</page_id>
<origin>0x200</origin>
<length>0x200</length>
<used_space>0x70</used_space>
<unused_space>0x190</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0x200</start_address>
<size>0x18</size>
<logical_group_ref idref="lg-253"/>
</allocated_space>
<allocated_space>
<start_address>0x218</start_address>
<size>0x8</size>
<logical_group_ref idref="lg-255"/>
</allocated_space>
<available_space>
<start_address>0x220</start_address>
<size>0x190</size>
</available_space>
<allocated_space>
<start_address>0x3b0</start_address>
<size>0x50</size>
<logical_group_ref idref="lg-5"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOD</name>
<page_id>0x0</page_id>
<origin>0x1000</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOC</name>
<page_id>0x0</page_id>
<origin>0x1040</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOB</name>
<page_id>0x0</page_id>
<origin>0x1080</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INFOA</name>
<page_id>0x0</page_id>
<origin>0x10c0</origin>
<length>0x40</length>
<used_space>0x0</used_space>
<unused_space>0x40</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="yes" color="green">
<name>FLASH</name>
<page_id>0x0</page_id>
<origin>0xc000</origin>
<length>0x3fe0</length>
<used_space>0x1df6</used_space>
<unused_space>0x21ea</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xc000</start_address>
<size>0x1cf0</size>
<logical_group_ref idref="lg-6"/>
</allocated_space>
<allocated_space>
<start_address>0xdcf0</start_address>
<size>0xe8</size>
<logical_group_ref idref="lg-8"/>
</allocated_space>
<allocated_space>
<start_address>0xddd8</start_address>
<size>0x1e</size>
<logical_group_ref idref="lg-7"/>
</allocated_space>
<available_space>
<start_address>0xddf6</start_address>
<size>0x21ea</size>
</available_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT00</name>
<page_id>0x0</page_id>
<origin>0xffe0</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT01</name>
<page_id>0x0</page_id>
<origin>0xffe2</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT02</name>
<page_id>0x0</page_id>
<origin>0xffe4</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffe4</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-14"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT03</name>
<page_id>0x0</page_id>
<origin>0xffe6</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffe6</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-16"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT04</name>
<page_id>0x0</page_id>
<origin>0xffe8</origin>
<length>0x2</length>
<used_space>0x0</used_space>
<unused_space>0x2</unused_space>
<attributes>RWIX</attributes>
<usage_details>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT05</name>
<page_id>0x0</page_id>
<origin>0xffea</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffea</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-19"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT06</name>
<page_id>0x0</page_id>
<origin>0xffec</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffec</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-1b"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT07</name>
<page_id>0x0</page_id>
<origin>0xffee</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xffee</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-1d"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT08</name>
<page_id>0x0</page_id>
<origin>0xfff0</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff0</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-1f"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT09</name>
<page_id>0x0</page_id>
<origin>0xfff2</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff2</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-21"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT10</name>
<page_id>0x0</page_id>
<origin>0xfff4</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff4</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-23"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT11</name>
<page_id>0x0</page_id>
<origin>0xfff6</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff6</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-25"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT12</name>
<page_id>0x0</page_id>
<origin>0xfff8</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfff8</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-27"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT13</name>
<page_id>0x0</page_id>
<origin>0xfffa</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfffa</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-29"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>INT14</name>
<page_id>0x0</page_id>
<origin>0xfffc</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfffc</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-2b"/>
</allocated_space>
</usage_details>
</memory_area>
<memory_area display="no" color="green">
<name>RESET</name>
<page_id>0x0</page_id>
<origin>0xfffe</origin>
<length>0x2</length>
<used_space>0x2</used_space>
<unused_space>0x0</unused_space>
<attributes>RWIX</attributes>
<usage_details>
<allocated_space>
<start_address>0xfffe</start_address>
<size>0x2</size>
<logical_group_ref idref="lg-2d"/>
</allocated_space>
</usage_details>
</memory_area>
</placement_map>
<cptbl_list>
<cptbl>
<name>__TI_cinit_table</name>
<cprec>
<name>.data</name>
<load_address>0xddd8</load_address>
<load_size>0xc</load_size>
<run_address>0x218</run_address>
<run_size>0x8</run_size>
<compression>copy</compression>
</cprec>
<cprec>
<name>.bss</name>
<load_address>0xddea</load_address>
<load_size>0x4</load_size>
<run_address>0x200</run_address>
<run_size>0x18</run_size>
<compression>zero_init</compression>
</cprec>
</cptbl>
</cptbl_list>
<handler_table>
<handler_table_name>__TI_handler_table</handler_table_name>
<handler>
<index>0x0</index>
<name>__TI_zero_init</name>
</handler>
<handler>
<index>0x1</index>
<name>__TI_decompress_rle24</name>
</handler>
<handler>
<index>0x2</index>
<name>__TI_decompress_none</name>
</handler>
</handler_table>
<symbol_table>
<symbol id="sm-1">
<name>IE1</name>
<value>0x0</value>
</symbol>
<symbol id="sm-2">
<name>IFG1</name>
<value>0x2</value>
</symbol>
<symbol id="sm-3">
<name>IE2</name>
<value>0x1</value>
</symbol>
<symbol id="sm-4">
<name>IFG2</name>
<value>0x3</value>
</symbol>
<symbol id="sm-5">
<name>ADC10DTC0</name>
<value>0x48</value>
</symbol>
<symbol id="sm-6">
<name>ADC10DTC1</name>
<value>0x49</value>
</symbol>
<symbol id="sm-7">
<name>ADC10AE0</name>
<value>0x4a</value>
</symbol>
<symbol id="sm-8">
<name>ADC10CTL0</name>
<value>0x1b0</value>
</symbol>
<symbol id="sm-9">
<name>ADC10CTL1</name>
<value>0x1b2</value>
</symbol>
<symbol id="sm-a">
<name>ADC10MEM</name>
<value>0x1b4</value>
</symbol>
<symbol id="sm-b">
<name>ADC10SA</name>
<value>0x1bc</value>
</symbol>
<symbol id="sm-c">
<name>DCOCTL</name>
<value>0x56</value>
</symbol>
<symbol id="sm-d">
<name>BCSCTL1</name>
<value>0x57</value>
</symbol>
<symbol id="sm-e">
<name>BCSCTL2</name>
<value>0x58</value>
</symbol>
<symbol id="sm-f">
<name>BCSCTL3</name>
<value>0x53</value>
</symbol>
<symbol id="sm-10">
<name>CACTL1</name>
<value>0x59</value>
</symbol>
<symbol id="sm-11">
<name>CACTL2</name>
<value>0x5a</value>
</symbol>
<symbol id="sm-12">
<name>CAPD</name>
<value>0x5b</value>
</symbol>
<symbol id="sm-13">
<name>FCTL1</name>
<value>0x128</value>
</symbol>
<symbol id="sm-14">
<name>FCTL2</name>
<value>0x12a</value>
</symbol>
<symbol id="sm-15">
<name>FCTL3</name>
<value>0x12c</value>
</symbol>
<symbol id="sm-16">
<name>P1IN</name>
<value>0x20</value>
</symbol>
<symbol id="sm-17">
<name>P1OUT</name>
<value>0x21</value>
</symbol>
<symbol id="sm-18">
<name>P1DIR</name>
<value>0x22</value>
</symbol>
<symbol id="sm-19">
<name>P1IFG</name>
<value>0x23</value>
</symbol>
<symbol id="sm-1a">
<name>P1IES</name>
<value>0x24</value>
</symbol>
<symbol id="sm-1b">
<name>P1IE</name>
<value>0x25</value>
</symbol>
<symbol id="sm-1c">
<name>P1SEL</name>
<value>0x26</value>
</symbol>
<symbol id="sm-1d">
<name>P1SEL2</name>
<value>0x41</value>
</symbol>
<symbol id="sm-1e">
<name>P1REN</name>
<value>0x27</value>
</symbol>
<symbol id="sm-1f">
<name>P2IN</name>
<value>0x28</value>
</symbol>
<symbol id="sm-20">
<name>P2OUT</name>
<value>0x29</value>
</symbol>
<symbol id="sm-21">
<name>P2DIR</name>
<value>0x2a</value>
</symbol>
<symbol id="sm-22">
<name>P2IFG</name>
<value>0x2b</value>
</symbol>
<symbol id="sm-23">
<name>P2IES</name>
<value>0x2c</value>
</symbol>
<symbol id="sm-24">
<name>P2IE</name>
<value>0x2d</value>
</symbol>
<symbol id="sm-25">
<name>P2SEL</name>
<value>0x2e</value>
</symbol>
<symbol id="sm-26">
<name>P2SEL2</name>
<value>0x42</value>
</symbol>
<symbol id="sm-27">
<name>P2REN</name>
<value>0x2f</value>
</symbol>
<symbol id="sm-28">
<name>P3IN</name>
<value>0x18</value>
</symbol>
<symbol id="sm-29">
<name>P3OUT</name>
<value>0x19</value>
</symbol>
<symbol id="sm-2a">
<name>P3DIR</name>
<value>0x1a</value>
</symbol>
<symbol id="sm-2b">
<name>P3SEL</name>
<value>0x1b</value>
</symbol>
<symbol id="sm-2c">
<name>P3SEL2</name>
<value>0x43</value>
</symbol>
<symbol id="sm-2d">
<name>P3REN</name>
<value>0x10</value>
</symbol>
<symbol id="sm-2e">
<name>TA0IV</name>
<value>0x12e</value>
</symbol>
<symbol id="sm-2f">
<name>TA0CTL</name>
<value>0x160</value>
</symbol>
<symbol id="sm-30">
<name>TA0CCTL0</name>
<value>0x162</value>
</symbol>
<symbol id="sm-31">
<name>TA0CCTL1</name>
<value>0x164</value>
</symbol>
<symbol id="sm-32">
<name>TA0CCTL2</name>
<value>0x166</value>
</symbol>
<symbol id="sm-33">
<name>TA0R</name>
<value>0x170</value>
</symbol>
<symbol id="sm-34">
<name>TA0CCR0</name>
<value>0x172</value>
</symbol>
<symbol id="sm-35">
<name>TA0CCR1</name>
<value>0x174</value>
</symbol>
<symbol id="sm-36">
<name>TA0CCR2</name>
<value>0x176</value>
</symbol>
<symbol id="sm-37">
<name>TA1IV</name>
<value>0x11e</value>
</symbol>
<symbol id="sm-38">
<name>TA1CTL</name>
<value>0x180</value>
</symbol>
<symbol id="sm-39">
<name>TA1CCTL0</name>
<value>0x182</value>
</symbol>
<symbol id="sm-3a">
<name>TA1CCTL1</name>
<value>0x184</value>
</symbol>
<symbol id="sm-3b">
<name>TA1CCTL2</name>
<value>0x186</value>
</symbol>
<symbol id="sm-3c">
<name>TA1R</name>
<value>0x190</value>
</symbol>
<symbol id="sm-3d">
<name>TA1CCR0</name>
<value>0x192</value>
</symbol>
<symbol id="sm-3e">
<name>TA1CCR1</name>
<value>0x194</value>
</symbol>
<symbol id="sm-3f">
<name>TA1CCR2</name>
<value>0x196</value>
</symbol>
<symbol id="sm-40">
<name>UCA0CTL0</name>
<value>0x60</value>
</symbol>
<symbol id="sm-41">
<name>UCA0CTL1</name>
<value>0x61</value>
</symbol>
<symbol id="sm-42">
<name>UCA0BR0</name>
<value>0x62</value>
</symbol>
<symbol id="sm-43">
<name>UCA0BR1</name>
<value>0x63</value>
</symbol>
<symbol id="sm-44">
<name>UCA0MCTL</name>
<value>0x64</value>
</symbol>
<symbol id="sm-45">
<name>UCA0STAT</name>
<value>0x65</value>
</symbol>
<symbol id="sm-46">
<name>UCA0RXBUF</name>
<value>0x66</value>
</symbol>
<symbol id="sm-47">
<name>UCA0TXBUF</name>
<value>0x67</value>
</symbol>
<symbol id="sm-48">
<name>UCA0ABCTL</name>
<value>0x5d</value>
</symbol>
<symbol id="sm-49">
<name>UCA0IRTCTL</name>
<value>0x5e</value>
</symbol>
<symbol id="sm-4a">
<name>UCA0IRRCTL</name>
<value>0x5f</value>
</symbol>
<symbol id="sm-4b">
<name>UCB0CTL0</name>
<value>0x68</value>
</symbol>
<symbol id="sm-4c">
<name>UCB0CTL1</name>
<value>0x69</value>
</symbol>
<symbol id="sm-4d">
<name>UCB0BR0</name>
<value>0x6a</value>
</symbol>
<symbol id="sm-4e">
<name>UCB0BR1</name>
<value>0x6b</value>
</symbol>
<symbol id="sm-4f">
<name>UCB0I2CIE</name>
<value>0x6c</value>
</symbol>
<symbol id="sm-50">
<name>UCB0STAT</name>
<value>0x6d</value>
</symbol>
<symbol id="sm-51">
<name>UCB0RXBUF</name>
<value>0x6e</value>
</symbol>
<symbol id="sm-52">
<name>UCB0TXBUF</name>
<value>0x6f</value>
</symbol>
<symbol id="sm-53">
<name>UCB0I2COA</name>
<value>0x118</value>
</symbol>
<symbol id="sm-54">
<name>UCB0I2CSA</name>
<value>0x11a</value>
</symbol>
<symbol id="sm-55">
<name>WDTCTL</name>
<value>0x120</value>
</symbol>
<symbol id="sm-56">
<name>CALDCO_16MHZ</name>
<value>0x10f8</value>
</symbol>
<symbol id="sm-57">
<name>CALBC1_16MHZ</name>
<value>0x10f9</value>
</symbol>
<symbol id="sm-58">
<name>CALDCO_12MHZ</name>
<value>0x10fa</value>
</symbol>
<symbol id="sm-59">
<name>CALBC1_12MHZ</name>
<value>0x10fb</value>
</symbol>
<symbol id="sm-5a">
<name>CALDCO_8MHZ</name>
<value>0x10fc</value>
</symbol>
<symbol id="sm-5b">
<name>CALBC1_8MHZ</name>
<value>0x10fd</value>
</symbol>
<symbol id="sm-5c">
<name>CALDCO_1MHZ</name>
<value>0x10fe</value>
</symbol>
<symbol id="sm-5d">
<name>CALBC1_1MHZ</name>
<value>0x10ff</value>
</symbol>
<symbol id="sm-5e">
<name>__TI_CINIT_Base</name>
<value>0xddee</value>
</symbol>
<symbol id="sm-5f">
<name>__TI_CINIT_Limit</name>
<value>0xddf6</value>
</symbol>
<symbol id="sm-60">
<name>__TI_Handler_Table_Base</name>
<value>0xdde4</value>
</symbol>
<symbol id="sm-61">
<name>__TI_Handler_Table_Limit</name>
<value>0xddea</value>
</symbol>
<symbol id="sm-62">
<name>binit</name>
<value>0xffffffff</value>
</symbol>
<symbol id="sm-63">
<name>__binit__</name>
<value>0xffffffff</value>
</symbol>
<symbol id="sm-64">
<name>__STACK_SIZE</name>
<value>0x50</value>
</symbol>
<symbol id="sm-65">
<name>__STACK_END</name>
<value>0x400</value>
</symbol>
<symbol id="sm-66">
<name>__c_args__</name>
<value>0xffffffff</value>
</symbol>
<symbol id="sm-a2">
<name>_c_int00_noexit</name>
<value>0xdc16</value>
<object_component_ref idref="oc-3a"/>
</symbol>
<symbol id="sm-a3">
<name>_c_int00</name>
<value>0xdc16</value>
<object_component_ref idref="oc-3a"/>
</symbol>
<symbol id="sm-a4">
<name>_stack</name>
<value>0x3b0</value>
<object_component_ref idref="oc-4e"/>
</symbol>
<symbol id="sm-a5">
<name>_reset_vector</name>
<value>0xfffe</value>
<object_component_ref idref="oc-50"/>
</symbol>
<symbol id="sm-b0">
<name>__mspabi_remi</name>
<value>0xdaf4</value>
<object_component_ref idref="oc-a4"/>
</symbol>
<symbol id="sm-b1">
<name>__mspabi_divi</name>
<value>0xdaf4</value>
<object_component_ref idref="oc-a4"/>
</symbol>
<symbol id="sm-ba">
<name>__mspabi_remu</name>
<value>0xdc48</value>
<object_component_ref idref="oc-124"/>
</symbol>
<symbol id="sm-bb">
<name>__mspabi_divu</name>
<value>0xdc48</value>
<object_component_ref idref="oc-124"/>
</symbol>
<symbol id="sm-c8">
<name>__mspabi_remul</name>
<value>0xd620</value>
<object_component_ref idref="oc-16e"/>
</symbol>
<symbol id="sm-c9">
<name>__mspabi_divul</name>
<value>0xd620</value>
<object_component_ref idref="oc-16e"/>
</symbol>
<symbol id="sm-d1">
<name>__mspabi_func_epilog_2</name>
<value>0xdcc6</value>
<object_component_ref idref="oc-bd"/>
</symbol>
<symbol id="sm-d2">
<name>__mspabi_func_epilog_3</name>
<value>0xdcc4</value>
<object_component_ref idref="oc-bd"/>
</symbol>
<symbol id="sm-d3">
<name>__mspabi_func_epilog_1</name>
<value>0xdcc8</value>
<object_component_ref idref="oc-bd"/>
</symbol>
<symbol id="sm-d4">
<name>__mspabi_func_epilog_6</name>
<value>0xdcbe</value>
<object_component_ref idref="oc-bd"/>
</symbol>
<symbol id="sm-d5">
<name>__mspabi_func_epilog_7</name>
<value>0xdcbc</value>
<object_component_ref idref="oc-bd"/>
</symbol>
<symbol id="sm-d6">
<name>__mspabi_func_epilog_4</name>
<value>0xdcc2</value>
<object_component_ref idref="oc-bd"/>
</symbol>
<symbol id="sm-d7">
<name>__mspabi_func_epilog_5</name>
<value>0xdcc0</value>
<object_component_ref idref="oc-bd"/>
</symbol>
<symbol id="sm-e0">
<name>C$$EXIT</name>
<value>0xdcea</value>
<object_component_ref idref="oc-69"/>
</symbol>
<symbol id="sm-e1">
<name>abort</name>
<value>0xdcea</value>
<object_component_ref idref="oc-69"/>
</symbol>
<symbol id="sm-e4">
<name>__TI_int03</name>
<value>0xffe6</value>
<object_component_ref idref="oc-2f"/>
</symbol>
<symbol id="sm-e7">
<name>__TI_int05</name>
<value>0xffea</value>
<object_component_ref idref="oc-30"/>
</symbol>
<symbol id="sm-ea">
<name>__TI_int06</name>
<value>0xffec</value>
<object_component_ref idref="oc-31"/>
</symbol>
<symbol id="sm-ed">
<name>__TI_int07</name>
<value>0xffee</value>
<object_component_ref idref="oc-32"/>
</symbol>
<symbol id="sm-f0">
<name>__TI_int08</name>
<value>0xfff0</value>
<object_component_ref idref="oc-33"/>
</symbol>
<symbol id="sm-f3">
<name>__TI_int09</name>
<value>0xfff2</value>
<object_component_ref idref="oc-34"/>
</symbol>
<symbol id="sm-f6">
<name>__TI_int10</name>
<value>0xfff4</value>
<object_component_ref idref="oc-35"/>
</symbol>
<symbol id="sm-f9">
<name>__TI_int11</name>
<value>0xfff6</value>
<object_component_ref idref="oc-36"/>
</symbol>
<symbol id="sm-fc">
<name>__TI_int12</name>
<value>0xfff8</value>
<object_component_ref idref="oc-37"/>
</symbol>
<symbol id="sm-ff">
<name>__TI_int13</name>
<value>0xfffa</value>
<object_component_ref idref="oc-38"/>
</symbol>
<symbol id="sm-102">
<name>__TI_int14</name>
<value>0xfffc</value>
<object_component_ref idref="oc-39"/>
</symbol>
<symbol id="sm-109">
<name>__TI_ISR_TRAP</name>
<value>0xdcda</value>
<object_component_ref idref="oc-56"/>
</symbol>
<symbol id="sm-110">
<name>__mspabi_slli</name>
<value>0xdb20</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-111">
<name>__mspabi_slli_9</name>
<value>0xdb38</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-112">
<name>__mspabi_slli_8</name>
<value>0xdb3a</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-113">
<name>__mspabi_slli_7</name>
<value>0xdb3c</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-114">
<name>__mspabi_slli_6</name>
<value>0xdb3e</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-115">
<name>__mspabi_slli_5</name>
<value>0xdb40</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-116">
<name>__mspabi_slli_4</name>
<value>0xdb42</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-117">
<name>__mspabi_slli_3</name>
<value>0xdb44</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-118">
<name>__mspabi_slli_2</name>
<value>0xdb46</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-119">
<name>__mspabi_slli_1</name>
<value>0xdb48</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-11a">
<name>__mspabi_slli_15</name>
<value>0xdb2c</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-11b">
<name>__mspabi_slli_14</name>
<value>0xdb2e</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-11c">
<name>__mspabi_slli_13</name>
<value>0xdb30</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-11d">
<name>__mspabi_slli_12</name>
<value>0xdb32</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-11e">
<name>__mspabi_slli_11</name>
<value>0xdb34</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-11f">
<name>__mspabi_slli_10</name>
<value>0xdb36</value>
<object_component_ref idref="oc-1eb"/>
</symbol>
<symbol id="sm-126">
<name>__mspabi_slll_9</name>
<value>0xd974</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-127">
<name>__mspabi_slll_8</name>
<value>0xd978</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-128">
<name>__mspabi_slll_7</name>
<value>0xd97c</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-129">
<name>__mspabi_slll_6</name>
<value>0xd980</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-12a">
<name>__mspabi_slll_5</name>
<value>0xd984</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-12b">
<name>__mspabi_slll_4</name>
<value>0xd988</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-12c">
<name>__mspabi_slll_3</name>
<value>0xd98c</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-12d">
<name>__mspabi_slll_2</name>
<value>0xd990</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-12e">
<name>__mspabi_slll_1</name>
<value>0xd994</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-12f">
<name>__mspabi_slll_15</name>
<value>0xd95c</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-130">
<name>__mspabi_slll_14</name>
<value>0xd960</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-131">
<name>__mspabi_slll_13</name>
<value>0xd964</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-132">
<name>__mspabi_slll_12</name>
<value>0xd968</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-133">
<name>__mspabi_slll_11</name>
<value>0xd96c</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-134">
<name>__mspabi_slll_10</name>
<value>0xd970</value>
<object_component_ref idref="oc-1d3"/>
</symbol>
<symbol id="sm-13b">
<name>__mspabi_srli_8</name>
<value>0xd79c</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-13c">
<name>__mspabi_srli_9</name>
<value>0xd798</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-13d">
<name>__mspabi_srli_6</name>
<value>0xd7a4</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-13e">
<name>__mspabi_srli_7</name>
<value>0xd7a0</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-13f">
<name>__mspabi_srli_4</name>
<value>0xd7ac</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-140">
<name>__mspabi_srli_5</name>
<value>0xd7a8</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-141">
<name>__mspabi_srli_2</name>
<value>0xd7b4</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-142">
<name>__mspabi_srli_3</name>
<value>0xd7b0</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-143">
<name>__mspabi_srli_1</name>
<value>0xd7b8</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-144">
<name>__mspabi_srli</name>
<value>0xd772</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-145">
<name>__mspabi_srli_15</name>
<value>0xd780</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-146">
<name>__mspabi_srli_14</name>
<value>0xd784</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-147">
<name>__mspabi_srli_13</name>
<value>0xd788</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-148">
<name>__mspabi_srli_12</name>
<value>0xd78c</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-149">
<name>__mspabi_srli_11</name>
<value>0xd790</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-14a">
<name>__mspabi_srli_10</name>
<value>0xd794</value>
<object_component_ref idref="oc-fb"/>
</symbol>
<symbol id="sm-151">
<name>__mspabi_srll_8</name>
<value>0xd5ee</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-152">
<name>__mspabi_srll_9</name>
<value>0xd5e8</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-153">
<name>__mspabi_srll_6</name>
<value>0xd5fa</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-154">
<name>__mspabi_srll_7</name>
<value>0xd5f4</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-155">
<name>__mspabi_srll_4</name>
<value>0xd606</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-156">
<name>__mspabi_srll_5</name>
<value>0xd600</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-157">
<name>__mspabi_srll_2</name>
<value>0xd612</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-158">
<name>__mspabi_srll_3</name>
<value>0xd60c</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-159">
<name>__mspabi_srll_1</name>
<value>0xd618</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-15a">
<name>__mspabi_srll_15</name>
<value>0xd5c4</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-15b">
<name>__mspabi_srll_14</name>
<value>0xd5ca</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-15c">
<name>__mspabi_srll_13</name>
<value>0xd5d0</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-15d">
<name>__mspabi_srll_12</name>
<value>0xd5d6</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-15e">
<name>__mspabi_srll_11</name>
<value>0xd5dc</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-15f">
<name>__mspabi_srll_10</name>
<value>0xd5e2</value>
<object_component_ref idref="oc-1ac"/>
</symbol>
<symbol id="sm-169">
<name>__mspabi_mpyul</name>
<value>0xdbba</value>
<object_component_ref idref="oc-21f"/>
</symbol>
<symbol id="sm-172">
<name>__mspabi_mpyl</name>
<value>0xdb4c</value>
<object_component_ref idref="oc-9e"/>
</symbol>
<symbol id="sm-188">
<name>_auto_init</name>
<value>0xd4ac</value>
<object_component_ref idref="oc-5f"/>
</symbol>
<symbol id="sm-191">
<name>__mspabi_mpyi</name>
<value>0xdc86</value>
<object_component_ref idref="oc-24a"/>
</symbol>
<symbol id="sm-3b7">
<name>playerState</name>
<value>0x216</value>
</symbol>
<symbol id="sm-3b8">
<name>snprintf</name>
<value>0xd6ce</value>
<object_component_ref idref="oc-aa"/>
</symbol>
<symbol id="sm-3b9">
<name>WriteSPI</name>
<value>0xd8d8</value>
<object_component_ref idref="oc-c3"/>
</symbol>
<symbol id="sm-3ba">
<name>ReadSPI</name>
<value>0xd99a</value>
<object_component_ref idref="oc-b5"/>
</symbol>
<symbol id="sm-3bb">
<name>mmcSetBlockLength</name>
<value>0xd50a</value>
<object_component_ref idref="oc-1ce"/>
</symbol>
<symbol id="sm-3bc">
<name>memcpy</name>
<value>0xdcac</value>
<object_component_ref idref="oc-6f"/>
</symbol>
<symbol id="sm-3bd">
<name>pf_mount</name>
<value>0xc49c</value>
<object_component_ref idref="oc-cd"/>
</symbol>
<symbol id="sm-3be">
<name>__TI_int02</name>
<value>0xffe4</value>
<object_component_ref idref="oc-2e"/>
</symbol>
<symbol id="sm-3bf">
<name>spiSendFrame</name>
<value>0xda9a</value>
<object_component_ref idref="oc-1bb"/>
</symbol>
<symbol id="sm-3c0">
<name>directory_info</name>
<value>0xd7be</value>
<object_component_ref idref="oc-d2"/>
</symbol>
<symbol id="sm-3c1">
<name>Read16SPI</name>
<value>0xd896</value>
<object_component_ref idref="oc-c8"/>
</symbol>
<symbol id="sm-3c2">
<name>_nop</name>
<value>0xdcee</value>
<object_component_ref idref="oc-104"/>
</symbol>
<symbol id="sm-3c3">
<name>spiReadFrame</name>
<value>0xda6c</value>
<object_component_ref idref="oc-14e"/>
</symbol>
<symbol id="sm-3c4">
<name>mmcGetResponse</name>
<value>0xda0a</value>
<object_component_ref idref="oc-1c7"/>
</symbol>
<symbol id="sm-3c5">
<name>main</name>
<value>0xc268</value>
<object_component_ref idref="oc-64"/>
</symbol>
<symbol id="sm-3c6">
<name>_lock</name>
<value>0x218</value>
<object_component_ref idref="oc-9a"/>
</symbol>
<symbol id="sm-3c7">
<name>plugin</name>
<value>0xdd54</value>
<object_component_ref idref="oc-101"/>
</symbol>
<symbol id="sm-3c8">
<name>Port1_Interrupt</name>
<value>0xd11c</value>
<object_component_ref idref="oc-51"/>
</symbol>
<symbol id="sm-3c9">
<name>_unlock</name>
<value>0x21a</value>
<object_component_ref idref="oc-9a"/>
</symbol>
<symbol id="sm-3ca">
<name>VS1053PlayFile</name>
<value>0xc000</value>
<object_component_ref idref="oc-b0"/>
</symbol>
<symbol id="sm-3cb">
<name>pf_opendir</name>
<value>0xd3ee</value>
<object_component_ref idref="oc-176"/>
</symbol>
<symbol id="sm-3cc">
<name>VSInitSoftware</name>
<value>0xc884</value>
<object_component_ref idref="oc-95"/>
</symbol>
<symbol id="sm-3cd">
<name>mmcSendCmd</name>
<value>0xd808</value>
<object_component_ref idref="oc-1c2"/>
</symbol>
<symbol id="sm-3ce">
<name>pf_open</name>
<value>0xd1d6</value>
<object_component_ref idref="oc-13a"/>
</symbol>
<symbol id="sm-3cf">
<name>mmcGetXXResponse</name>
<value>0xdb96</value>
<object_component_ref idref="oc-1d7"/>
</symbol>
<symbol id="sm-3d0">
<name>mmcInit</name>
<value>0xcdf4</value>
<object_component_ref idref="oc-15f"/>
</symbol>
<symbol id="sm-3d1">
<name>disk_readp</name>
<value>0xcf90</value>
<object_component_ref idref="oc-169"/>
</symbol>
<symbol id="sm-3d2">
<name>pf_readdir</name>
<value>0xcbd2</value>
<object_component_ref idref="oc-17d"/>
</symbol>
<symbol id="sm-3d3">
<name>pf_read</name>
<value>0xc9a2</value>
<object_component_ref idref="oc-13f"/>
</symbol>
<symbol id="sm-3d4">
<name>WriteSci</name>
<value>0xd37a</value>
<object_component_ref idref="oc-f6"/>
</symbol>
<symbol id="sm-3d5">
<name>chipNumber</name>
<value>0xdda4</value>
<object_component_ref idref="oc-ff"/>
</symbol>
<symbol id="sm-3d6">
<name>ReadSci</name>
<value>0xd568</value>
<object_component_ref idref="oc-f1"/>
</symbol>
<symbol id="sm-3d7">
<name>_system_pre_init</name>
<value>0xdce6</value>
<object_component_ref idref="oc-5a"/>
</symbol>
<symbol id="sm-3d8">
<name>WriteSdi</name>
<value>0xdb72</value>
<object_component_ref idref="oc-144"/>
</symbol>
<symbol id="sm-433">
<name>__TI_printfi_minimal</name>
<value>0xcec6</value>
<object_component_ref idref="oc-133"/>
</symbol>
<symbol id="sm-448">
<name>__TI_decompress_none</name>
<value>0xdc9a</value>
<object_component_ref idref="oc-3f"/>
</symbol>
<symbol id="sm-468">
<name>__TI_decompress_rle24</name>
<value>0xdce0</value>
<object_component_ref idref="oc-49"/>
</symbol>
<symbol id="sm-475">
<name>__TI_zero_init</name>
<value>0xdc5e</value>
<object_component_ref idref="oc-44"/>
</symbol>
<symbol id="sm-483">
<name>memccpy</name>
<value>0xdbfa</value>
<object_component_ref idref="oc-207"/>
</symbol>
<symbol id="sm-490">
<name>memset</name>
<value>0xdc72</value>
<object_component_ref idref="oc-1fc"/>
</symbol>
<symbol id="sm-49c">
<name>strlen</name>
<value>0xdccc</value>
<object_component_ref idref="oc-18e"/>
</symbol>
<symbol id="sm-49d">
<name>__TI_exit</name>
<value>0x1</value>
</symbol>
<symbol id="sm-49e">
<name>__TI_args_main</name>
<value>0x1</value>
</symbol>
<symbol id="sm-49f">
<name>__TI_INITARRAY_Base</name>
<value>0x0</value>
</symbol>
<symbol id="sm-4a0">
<name>__TI_INITARRAY_Limit</name>
<value>0x0</value>
</symbol>
</symbol_table>
<title>Link successful</title>
</link_info>
/MSP430/MSP430_Audio_Cube/Release/adxl345.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Release/adxl345.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Release/adxl345.pp
0,0 → 1,21
# FIXED
 
adxl345.obj: ../adxl345.c
adxl345.obj: ../adxl345.h
adxl345.obj: ../hal_hardware_board.h
adxl345.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h
adxl345.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h
adxl345.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h
adxl345.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h
adxl345.obj: ../hal_SPI.h
adxl345.obj: ../hal_hardware_board.h
 
../adxl345.c:
../adxl345.h:
../hal_hardware_board.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h:
../hal_SPI.h:
../hal_hardware_board.h:
/MSP430/MSP430_Audio_Cube/Release/ccsObjs.opt
0,0 → 1,0
"./vs1053.obj" "./pff.obj" "./mmc.obj" "./main.obj" "./hal_SPI.obj" "./diskio.obj" "./adxl345.obj" "../lnk_msp430g2553.cmd" -l"libc.a"
/MSP430/MSP430_Audio_Cube/Release/diskio.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Release/diskio.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Release/diskio.pp
0,0 → 1,11
# FIXED
 
diskio.obj: ../diskio.c
diskio.obj: ../diskio.h
diskio.obj: ../integer.h
diskio.obj: ../mmc.h
 
../diskio.c:
../diskio.h:
../integer.h:
../mmc.h:
/MSP430/MSP430_Audio_Cube/Release/hal_SPI.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Release/hal_SPI.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Release/hal_SPI.pp
0,0 → 1,19
# FIXED
 
hal_SPI.obj: ../hal_SPI.c
hal_SPI.obj: ../hal_SPI.h
hal_SPI.obj: ../hal_hardware_board.h
hal_SPI.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h
hal_SPI.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h
hal_SPI.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h
hal_SPI.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h
hal_SPI.obj: ../hal_hardware_board.h
 
../hal_SPI.c:
../hal_SPI.h:
../hal_hardware_board.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h:
../hal_hardware_board.h:
/MSP430/MSP430_Audio_Cube/Release/main.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Release/main.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Release/main.pp
0,0 → 1,39
# FIXED
 
main.obj: ../main.c
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdio.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/linkage.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdarg.h
main.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdlib.h
main.obj: ../hal_SPI.h
main.obj: ../hal_hardware_board.h
main.obj: ../mmc.h
main.obj: ../diskio.h
main.obj: ../integer.h
main.obj: ../pff.h
main.obj: ../player.h
main.obj: ../vs10xx_uc.h
main.obj: ../adxl345.h
 
../main.c:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdio.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/linkage.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdarg.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdlib.h:
../hal_SPI.h:
../hal_hardware_board.h:
../mmc.h:
../diskio.h:
../integer.h:
../pff.h:
../player.h:
../vs10xx_uc.h:
../adxl345.h:
/MSP430/MSP430_Audio_Cube/Release/makefile
0,0 → 1,140
################################################################################
# Automatically-generated file. Do not edit!
################################################################################
 
SHELL = cmd.exe
 
CG_TOOL_ROOT := C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1
 
ORDERED_OBJS += \
$(GEN_CMDS__FLAG) \
"./vs1053.obj" \
"./pff.obj" \
"./mmc.obj" \
"./main.obj" \
"./hal_SPI.obj" \
"./diskio.obj" \
"./adxl345.obj" \
"../lnk_msp430g2553.cmd" \
-l"libc.a" \
 
-include ../makefile.init
 
RM := DEL /F
RMDIR := RMDIR /S/Q
 
# All of the sources participating in the build are defined here
-include sources.mk
-include subdir_vars.mk
-include subdir_rules.mk
-include objects.mk
 
ifneq ($(MAKECMDGOALS),clean)
ifneq ($(strip $(S_DEPS)),)
-include $(S_DEPS)
endif
ifneq ($(strip $(S_UPPER_DEPS)),)
-include $(S_UPPER_DEPS)
endif
ifneq ($(strip $(S62_DEPS)),)
-include $(S62_DEPS)
endif
ifneq ($(strip $(C64_DEPS)),)
-include $(C64_DEPS)
endif
ifneq ($(strip $(ASM_DEPS)),)
-include $(ASM_DEPS)
endif
ifneq ($(strip $(CC_DEPS)),)
-include $(CC_DEPS)
endif
ifneq ($(strip $(S55_DEPS)),)
-include $(S55_DEPS)
endif
ifneq ($(strip $(C67_DEPS)),)
-include $(C67_DEPS)
endif
ifneq ($(strip $(C??_DEPS)),)
-include $(C??_DEPS)
endif
ifneq ($(strip $(CLA_DEPS)),)
-include $(CLA_DEPS)
endif
ifneq ($(strip $(CPP_DEPS)),)
-include $(CPP_DEPS)
endif
ifneq ($(strip $(S??_DEPS)),)
-include $(S??_DEPS)
endif
ifneq ($(strip $(C_DEPS)),)
-include $(C_DEPS)
endif
ifneq ($(strip $(C62_DEPS)),)
-include $(C62_DEPS)
endif
ifneq ($(strip $(CXX_DEPS)),)
-include $(CXX_DEPS)
endif
ifneq ($(strip $(C++_DEPS)),)
-include $(C++_DEPS)
endif
ifneq ($(strip $(ASM_UPPER_DEPS)),)
-include $(ASM_UPPER_DEPS)
endif
ifneq ($(strip $(K_DEPS)),)
-include $(K_DEPS)
endif
ifneq ($(strip $(C43_DEPS)),)
-include $(C43_DEPS)
endif
ifneq ($(strip $(S67_DEPS)),)
-include $(S67_DEPS)
endif
ifneq ($(strip $(SA_DEPS)),)
-include $(SA_DEPS)
endif
ifneq ($(strip $(S43_DEPS)),)
-include $(S43_DEPS)
endif
ifneq ($(strip $(OPT_DEPS)),)
-include $(OPT_DEPS)
endif
ifneq ($(strip $(S64_DEPS)),)
-include $(S64_DEPS)
endif
ifneq ($(strip $(C_UPPER_DEPS)),)
-include $(C_UPPER_DEPS)
endif
ifneq ($(strip $(C55_DEPS)),)
-include $(C55_DEPS)
endif
endif
 
-include ../makefile.defs
 
# Add inputs and outputs from these tool invocations to the build variables
 
# All Target
all: MSP430_Audio_Project.out
 
# Tool invocations
MSP430_Audio_Project.out: $(OBJS) $(CMD_SRCS) $(GEN_CMDS)
@echo 'Building target: $@'
@echo 'Invoking: MSP430 Linker'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -O4 --opt_for_speed=5 --advice:power="all" --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal -z -m"MSP430_Audio_Project.map" --heap_size=80 --stack_size=80 -i"C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" -i"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/lib" -i"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" --reread_libs --warn_sections --display_error_number --diag_wrap=off --xml_link_info="MSP430_Audio_Project_linkInfo.xml" --rom_model -o "MSP430_Audio_Project.out" $(ORDERED_OBJS)
@echo 'Finished building target: $@'
@echo ' '
 
# Other Targets
clean:
-$(RM) $(MSP430_EXECUTABLE_OUTPUTS__QUOTED) "MSP430_Audio_Project.out"
-$(RM) "adxl345.pp" "diskio.pp" "hal_SPI.pp" "main.pp" "mmc.pp" "pff.pp" "vs1053.pp"
-$(RM) "adxl345.obj" "diskio.obj" "hal_SPI.obj" "main.obj" "mmc.obj" "pff.obj" "vs1053.obj"
-@echo 'Finished clean'
-@echo ' '
 
.PHONY: all clean dependents
.SECONDARY:
 
-include ../makefile.targets
 
/MSP430/MSP430_Audio_Cube/Release/mmc.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Release/mmc.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Release/mmc.pp
0,0 → 1,33
# FIXED
 
mmc.obj: ../mmc.c
mmc.obj: ../mmc.h
mmc.obj: ../hal_SPI.h
mmc.obj: ../hal_hardware_board.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h
mmc.obj: ../hal_hardware_board.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/math.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/ymath.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/yvals.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdarg.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/linkage.h
mmc.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/_lock.h
 
../mmc.c:
../mmc.h:
../hal_SPI.h:
../hal_hardware_board.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h:
../hal_hardware_board.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/math.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/ymath.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/yvals.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/stdarg.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/linkage.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/_lock.h:
/MSP430/MSP430_Audio_Cube/Release/objects.mk
0,0 → 1,8
################################################################################
# Automatically-generated file. Do not edit!
################################################################################
 
USER_OBJS :=
 
LIBS := -l"libc.a"
 
/MSP430/MSP430_Audio_Cube/Release/pff.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Release/pff.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Release/pff.pp
0,0 → 1,11
# FIXED
 
pff.obj: ../pff.c
pff.obj: ../pff.h
pff.obj: ../integer.h
pff.obj: ../diskio.h
 
../pff.c:
../pff.h:
../integer.h:
../diskio.h:
/MSP430/MSP430_Audio_Cube/Release/sources.mk
0,0 → 1,101
################################################################################
# Automatically-generated file. Do not edit!
################################################################################
 
O_SRCS :=
CPP_SRCS :=
K_SRCS :=
LD_SRCS :=
S67_SRCS :=
LDS_SRCS :=
CMD_SRCS :=
CXX_SRCS :=
CMD_UPPER_SRCS :=
C43_SRCS :=
S55_SRCS :=
LD_UPPER_SRCS :=
C62_SRCS :=
S_UPPER_SRCS :=
A_SRCS :=
C55_SRCS :=
SA_SRCS :=
LDS_UPPER_SRCS :=
C_UPPER_SRCS :=
OBJ_SRCS :=
S62_SRCS :=
LIB_SRCS :=
ASM_SRCS :=
S??_SRCS :=
C++_SRCS :=
CLA_SRCS :=
ASM_UPPER_SRCS :=
C_SRCS :=
C67_SRCS :=
S_SRCS :=
S43_SRCS :=
OPT_SRCS :=
C64_SRCS :=
C??_SRCS :=
CC_SRCS :=
S64_SRCS :=
OBJS :=
S_DEPS :=
S_UPPER_DEPS :=
S62_DEPS :=
C64_DEPS :=
ASM_DEPS :=
CC_DEPS :=
S55_DEPS :=
C67_DEPS :=
C??_DEPS :=
CLA_DEPS :=
CPP_DEPS :=
S??_DEPS :=
C_DEPS :=
MSP430_EXECUTABLE_OUTPUTS :=
C62_DEPS :=
CXX_DEPS :=
C++_DEPS :=
ASM_UPPER_DEPS :=
K_DEPS :=
C43_DEPS :=
S67_DEPS :=
SA_DEPS :=
S43_DEPS :=
OPT_DEPS :=
S64_DEPS :=
C_UPPER_DEPS :=
C55_DEPS :=
CPP_DEPS__QUOTED :=
C67_DEPS__QUOTED :=
C??_DEPS__QUOTED :=
S_UPPER_DEPS__QUOTED :=
CLA_DEPS__QUOTED :=
ASM_UPPER_DEPS__QUOTED :=
MSP430_EXECUTABLE_OUTPUTS__QUOTED :=
C62_DEPS__QUOTED :=
CXX_DEPS__QUOTED :=
S67_DEPS__QUOTED :=
C_DEPS__QUOTED :=
C_UPPER_DEPS__QUOTED :=
OPT_DEPS__QUOTED :=
S_DEPS__QUOTED :=
S??_DEPS__QUOTED :=
K_DEPS__QUOTED :=
C64_DEPS__QUOTED :=
C++_DEPS__QUOTED :=
OBJS__QUOTED :=
S43_DEPS__QUOTED :=
CC_DEPS__QUOTED :=
S55_DEPS__QUOTED :=
C55_DEPS__QUOTED :=
SA_DEPS__QUOTED :=
C43_DEPS__QUOTED :=
S62_DEPS__QUOTED :=
ASM_DEPS__QUOTED :=
S64_DEPS__QUOTED :=
 
# Every subdirectory with source files must be described here
SUBDIRS := \
. \
 
/MSP430/MSP430_Audio_Cube/Release/subdir_rules.mk
0,0 → 1,55
################################################################################
# Automatically-generated file. Do not edit!
################################################################################
 
# Each subdirectory must supply rules for building sources it contributes
adxl345.obj: ../adxl345.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -O4 --opt_for_speed=5 --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" --advice:power="all" --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="adxl345.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
diskio.obj: ../diskio.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -O4 --opt_for_speed=5 --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" --advice:power="all" --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="diskio.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
hal_SPI.obj: ../hal_SPI.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -O4 --opt_for_speed=5 --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" --advice:power="all" --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="hal_SPI.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
main.obj: ../main.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -O4 --opt_for_speed=5 --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" --advice:power="all" --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="main.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
mmc.obj: ../mmc.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -O4 --opt_for_speed=5 --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" --advice:power="all" --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="mmc.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
pff.obj: ../pff.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -O4 --opt_for_speed=5 --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" --advice:power="all" --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="pff.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
vs1053.obj: ../vs1053.c $(GEN_OPTS) $(GEN_HDRS)
@echo 'Building file: $<'
@echo 'Invoking: MSP430 Compiler'
"C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/bin/cl430" -vmsp --abi=eabi -O4 --opt_for_speed=5 --include_path="C:/Program Files (x86)/TI/ccsv5/ccs_base/msp430/include" --include_path="C:/Program Files (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include" --advice:power="all" --define=__MSP430G2553__ --diag_warning=225 --display_error_number --diag_wrap=off --printf_support=minimal --preproc_with_compile --preproc_dependency="vs1053.pp" $(GEN_OPTS__FLAG) "$<"
@echo 'Finished building: $<'
@echo ' '
 
 
/MSP430/MSP430_Audio_Cube/Release/subdir_vars.mk
0,0 → 1,63
################################################################################
# Automatically-generated file. Do not edit!
################################################################################
 
# Add inputs and outputs from these tool invocations to the build variables
CMD_SRCS += \
../lnk_msp430g2553.cmd
 
C_SRCS += \
../adxl345.c \
../diskio.c \
../hal_SPI.c \
../main.c \
../mmc.c \
../pff.c \
../vs1053.c
 
OBJS += \
./adxl345.obj \
./diskio.obj \
./hal_SPI.obj \
./main.obj \
./mmc.obj \
./pff.obj \
./vs1053.obj
 
C_DEPS += \
./adxl345.pp \
./diskio.pp \
./hal_SPI.pp \
./main.pp \
./mmc.pp \
./pff.pp \
./vs1053.pp
 
C_DEPS__QUOTED += \
"adxl345.pp" \
"diskio.pp" \
"hal_SPI.pp" \
"main.pp" \
"mmc.pp" \
"pff.pp" \
"vs1053.pp"
 
OBJS__QUOTED += \
"adxl345.obj" \
"diskio.obj" \
"hal_SPI.obj" \
"main.obj" \
"mmc.obj" \
"pff.obj" \
"vs1053.obj"
 
C_SRCS__QUOTED += \
"../adxl345.c" \
"../diskio.c" \
"../hal_SPI.c" \
"../main.c" \
"../mmc.c" \
"../pff.c" \
"../vs1053.c"
 
 
/MSP430/MSP430_Audio_Cube/Release/vs1053.obj
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/MSP430/MSP430_Audio_Cube/Release/vs1053.obj
Property changes:
Added: svn:mime-type
+application/octet-stream
\ No newline at end of property
/MSP430/MSP430_Audio_Cube/Release/vs1053.pp
0,0 → 1,27
# FIXED
 
vs1053.obj: ../vs1053.c
vs1053.obj: ../player.h
vs1053.obj: ../vs10xx_uc.h
vs1053.obj: ../hal_SPI.h
vs1053.obj: ../hal_hardware_board.h
vs1053.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h
vs1053.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h
vs1053.obj: C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h
vs1053.obj: C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h
vs1053.obj: ../hal_hardware_board.h
vs1053.obj: ../pff.h
vs1053.obj: ../integer.h
 
../vs1053.c:
../player.h:
../vs10xx_uc.h:
../hal_SPI.h:
../hal_hardware_board.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/msp430g2553.h:
C:/Program\ Files\ (x86)/TI/ccsv5/ccs_base/msp430/include/in430.h:
C:/Program\ Files\ (x86)/TI/ccsv5/tools/compiler/msp430_4.2.1/include/intrinsics.h:
../hal_hardware_board.h:
../pff.h:
../integer.h:
/MSP430/MSP430_Audio_Cube/adxl345.c
0,0 → 1,150
#include "adxl345.h"
#include "hal_hardware_board.h"
#include "hal_SPI.h"
 
/*
* Writes the given byte to the register at the address
*/
void WriteSPI(unsigned char addr, unsigned char data) {
ADXL_CS_LOW();
spiSendByte(addr & ~ADXL_BYTE_WRITE);
spiSendByte(data);
ADXL_CS_HIGH();
}
 
/*
* Reads the value of the register at the address
*/
unsigned char ReadSPI(unsigned char addr) {
unsigned char ret;
ADXL_CS_LOW();
spiSendByte(addr | ADXL_BYTE_READ);
spiReadFrame(&ret, 1);
ADXL_CS_HIGH();
return ret;
}
 
/*
* Reads a 16-bit value of the register at the address
*/
int Read16SPI(unsigned char addr) {
unsigned char recv[2];
int ret;
ADXL_CS_LOW();
spiSendByte(addr | ADXL_MULTI_BYTE_READ);
spiReadFrame(recv, 2);
ADXL_CS_HIGH();
ret = recv[0];
ret |= recv[1] << 8;
return ret;
}
 
/*
* ADXL345 Initialization Function
*/
unsigned char ADXLInit(void) {
unsigned char deviceID;
 
// Check if device exists
deviceID = ReadSPI(ADXL345_REG_DEVID);
if (deviceID != 0xE5)
return 1;
 
// Set FIFO to bypass mode
WriteSPI(ADXL345_REG_FIFO_CTL, 0x00);
 
// // Set the INT_INVERT bit in DATA_FORMAT register
WriteSPI(ADXL345_REG_DATA_FORMAT, 0x20);
 
ADXLSetRange(ADXL345_RANGE_16_G);
 
ADXLSetDataRate(ADXL345_DATARATE_12_5_HZ);
 
ADXLClearInterrupts();
 
ADXLStandbyOff();
 
return 0;
}
 
void ADXLStandbyOn(void) {
// Turn off measurements
WriteSPI(ADXL345_REG_POWER_CTL, 0x00);
}
 
void ADXLStandbyOff(void) {
// Turn on measurements
WriteSPI(ADXL345_REG_POWER_CTL, 0x08);
}
 
/*
* Sets up and enables the tap interrupt
*/
void ADXLInitInterrupts(void) {
// Set tap acceleration threshold (62.5mg/LSB, 0xFF=16g)
WriteSPI(ADXL345_REG_THRESH_TAP, 0x20);
 
// Set maximum tap duration (625us/LSB)
WriteSPI(ADXL345_REG_DUR, 0xFF);
 
// Set minimum time for second tap (1.25ms/LSB)
WriteSPI(ADXL345_REG_LATENT, 0xFF);
 
// Set maximum time for second tap (1.25ms/LSB)
WriteSPI(ADXL345_REG_WINDOW, 0xFF);
 
// Bind single tap to INT1 and double tap to INT2
WriteSPI(ADXL345_REG_INT_MAP, 0x20);
 
// Enable all three axis for tap detection
WriteSPI(ADXL345_REG_TAP_AXES, 0x07);
 
// Enable single tap interrupts
WriteSPI(ADXL345_REG_INT_ENABLE, 0x40);
}
 
/*
* Clears any active interrupt flags
*/
void ADXLClearInterrupts(void) {
ReadSPI(ADXL345_REG_INT_SOURCE);
}
 
/*
* Returns sensor data
*/
void ADXLRead(int *x, int *y, int *z) {
*x = Read16SPI(ADXL345_REG_DATAX0);
*y = Read16SPI(ADXL345_REG_DATAY0);
*z = Read16SPI(ADXL345_REG_DATAZ0);
}
 
/*
* Sets the sensitivity range (2/4/8/16g)
*/
void ADXLSetRange(range_t range) {
unsigned char data = ReadSPI(ADXL345_REG_DATA_FORMAT);
 
// Set the new sensitivity range
data &= ~0x0F;
data |= range;
 
// Set the FULL_RES bit
data |= 0x08;
 
WriteSPI(ADXL345_REG_DATA_FORMAT, data);
}
 
/*
* Sets the data rate
*/
void ADXLSetDataRate(dataRate_t rate) {
unsigned char data = rate;
 
#ifdef ADXL345_LOW_POWER_MODE
// Set the low power mode bit
data |= 0x10;
#endif
 
WriteSPI(ADXL345_REG_BW_RATE, data);
}
/MSP430/MSP430_Audio_Cube/adxl345.h
0,0 → 1,84
 
#define ADXL345_LOW_POWER_MODE
 
// Chip Address
#define ADXL345_ADDRESS (0x53) // Assumes ALT address pin low
 
// Register Defines
#define ADXL345_REG_DEVID (0x00) // Device ID
#define ADXL345_REG_THRESH_TAP (0x1D) // Tap threshold
#define ADXL345_REG_OFSX (0x1E) // X-axis offset
#define ADXL345_REG_OFSY (0x1F) // Y-axis offset
#define ADXL345_REG_OFSZ (0x20) // Z-axis offset
#define ADXL345_REG_DUR (0x21) // Tap duration
#define ADXL345_REG_LATENT (0x22) // Tap latency
#define ADXL345_REG_WINDOW (0x23) // Tap window
#define ADXL345_REG_THRESH_ACT (0x24) // Activity threshold
#define ADXL345_REG_THRESH_INACT (0x25) // Inactivity threshold
#define ADXL345_REG_TIME_INACT (0x26) // Inactivity time
#define ADXL345_REG_ACT_INACT_CTL (0x27) // Axis enable control for activity and inactivity detection
#define ADXL345_REG_THRESH_FF (0x28) // Free-fall threshold
#define ADXL345_REG_TIME_FF (0x29) // Free-fall time
#define ADXL345_REG_TAP_AXES (0x2A) // Axis control for single/double tap
#define ADXL345_REG_ACT_TAP_STATUS (0x2B) // Source for single/double tap
#define ADXL345_REG_BW_RATE (0x2C) // Data rate and power mode control
#define ADXL345_REG_POWER_CTL (0x2D) // Power-saving features control
#define ADXL345_REG_INT_ENABLE (0x2E) // Interrupt enable control
#define ADXL345_REG_INT_MAP (0x2F) // Interrupt mapping control
#define ADXL345_REG_INT_SOURCE (0x30) // Source of interrupts
#define ADXL345_REG_DATA_FORMAT (0x31) // Data format control
#define ADXL345_REG_DATAX0 (0x32) // X-axis data 0
#define ADXL345_REG_DATAX1 (0x33) // X-axis data 1
#define ADXL345_REG_DATAY0 (0x34) // Y-axis data 0
#define ADXL345_REG_DATAY1 (0x35) // Y-axis data 1
#define ADXL345_REG_DATAZ0 (0x36) // Z-axis data 0
#define ADXL345_REG_DATAZ1 (0x37) // Z-axis data 1
#define ADXL345_REG_FIFO_CTL (0x38) // FIFO control
#define ADXL345_REG_FIFO_STATUS (0x39) // FIFO status
 
#define ADXL345_MG2G_MULTIPLIER (0.004) // 4mg per lsb
 
#define ADXL_BYTE_READ (0x80)
#define ADXL_BYTE_WRITE (0x80)
#define ADXL_MULTI_BYTE_READ (0xC0)
 
// Register values for ADXL345_REG_BW_RATE
typedef enum
{
#ifndef ADXL345_LOW_POWER_MODE
ADXL345_DATARATE_3200_HZ = 0xF, // 1600Hz Bandwidth 140µA IDD
ADXL345_DATARATE_1600_HZ = 0xE, // 800Hz Bandwidth 90µA IDD
ADXL345_DATARATE_800_HZ = 0xD, // 400Hz Bandwidth 140µA IDD
ADXL345_DATARATE_6_25HZ = 0x6, // 3.13Hz Bandwidth 45µA IDD
ADXL345_DATARATE_3_13_HZ = 0x5, // 1.56Hz Bandwidth 40µA IDD
ADXL345_DATARATE_1_56_HZ = 0x4, // 0.78Hz Bandwidth 34µA IDD
ADXL345_DATARATE_0_78_HZ = 0x3, // 0.39Hz Bandwidth 23µA IDD
ADXL345_DATARATE_0_39_HZ = 0x2, // 0.20Hz Bandwidth 23µA IDD
ADXL345_DATARATE_0_20_HZ = 0x1, // 0.10Hz Bandwidth 23µA IDD
ADXL345_DATARATE_0_10_HZ = 0x0, // 0.05Hz Bandwidth 23µA IDD (default value)
#endif
ADXL345_DATARATE_400_HZ = 0xC, // 200Hz Bandwidth 140µA IDD / 90uA LP
ADXL345_DATARATE_200_HZ = 0xB, // 100Hz Bandwidth 140µA IDD / 60uA LP
ADXL345_DATARATE_100_HZ = 0xA, // 50Hz Bandwidth 140µA IDD / 50uA LP
ADXL345_DATARATE_50_HZ = 0x9, // 25Hz Bandwidth 90µA IDD / 45uA LP
ADXL345_DATARATE_25_HZ = 0x8, // 12.5Hz Bandwidth 60µA IDD / 40uA LP
ADXL345_DATARATE_12_5_HZ = 0x7 // 6.25Hz Bandwidth 50µA IDD / 35uA LP
} dataRate_t;
 
// Register values for ADXL345_REG_DATA_FORMAT
typedef enum
{
ADXL345_RANGE_16_G = 0x3, // +/- 16g
ADXL345_RANGE_8_G = 0x2, // +/- 8g
ADXL345_RANGE_4_G = 0x1, // +/- 4g
ADXL345_RANGE_2_G = 0x0 // +/- 2g (default value)
} range_t;
 
unsigned char ADXLInit(void);
void ADXLStandbyOn(void);
void ADXLStandbyOff(void);
void ADXLInitInterrupts(void);
void ADXLClearInterrupts(void);
void ADXLRead(int *x, int *y, int *z);
void ADXLSetRange(range_t range);
void ADXLSetDataRate(dataRate_t rate);
/MSP430/MSP430_Audio_Cube/diskio.c
0,0 → 1,83
/*-----------------------------------------------------------------------*/
/* Low level disk I/O module skeleton for Petit FatFs (C)ChaN, 2009 */
/*-----------------------------------------------------------------------*/
 
#include "diskio.h"
#include "mmc.h"
 
 
/*-----------------------------------------------------------------------*/
/* Initialize Disk Drive */
/*-----------------------------------------------------------------------*/
 
DSTATUS disk_initialize (void)
{
int i;
 
// Try the initialization sequence up to four times
for (i = 0; i < 4; i++) {
if (mmcInit() == MMC_SUCCESS)
return STA_OK;
}
 
return STA_NOINIT;
}
 
 
 
/*-----------------------------------------------------------------------*/
/* Read Partial Sector */
/*-----------------------------------------------------------------------*/
 
DRESULT disk_readp (
BYTE* dest, /* Pointer to the destination object */
DWORD sector, /* Sector number (LBA) */
WORD sofs, /* Offset in the sector */
WORD count /* Byte count (bit15:destination) */
)
{
DRESULT res;
DWORD address = (sector * MMC_SECTOR_SIZE) + sofs;
 
if (mmcReadBlock(address, count, dest) == MMC_SUCCESS) {
res = RES_OK;
} else {
res = RES_ERROR;
}
 
return res;
}
 
 
 
/*-----------------------------------------------------------------------*/
/* Write Partial Sector */
/*-----------------------------------------------------------------------*/
 
//DRESULT disk_writep (
// BYTE* buff, /* Pointer to the data to be written, NULL:Initiate/Finalize write operation */
// DWORD sc /* Sector number (LBA) or Number of bytes to send */
//)
//{
// DRESULT res;
//
//
// if (!buff) {
// if (sc) {
//
// // Initiate write process
//
// } else {
//
// // Finalize write process
//
// }
// } else {
//
// // Send data to the disk
//
// }
//
// return res;
//}
 
/MSP430/MSP430_Audio_Cube/diskio.h
0,0 → 1,39
/*-----------------------------------------------------------------------
/ PFF - Low level disk interface modlue include file (C)ChaN, 2009
/-----------------------------------------------------------------------*/
 
#ifndef _DISKIO
 
#include "integer.h"
 
/* Status of Disk Functions */
typedef BYTE DSTATUS;
 
/* Results of Disk Functions */
typedef enum {
RES_OK = 0, /* 0: Function succeeded */
RES_ERROR, /* 1: Disk error */
RES_NOTRDY, /* 2: Not ready */
RES_PARERR /* 3: Invalid parameter */
} DRESULT;
 
/*---------------------------------------*/
/* Prototypes for disk control functions */
 
DSTATUS disk_initialize (void);
DRESULT disk_readp (BYTE* dest, DWORD sector, WORD offset, WORD count);
//DRESULT disk_writep (BYTE*, DWORD);
 
#define STA_OK 0x00
#define STA_NOINIT 0x01 /* Drive not initialized */
#define STA_NODISK 0x02 /* No medium in the drive */
 
/* Card type flags (CardType) */
#define CT_MMC 0x01 /* MMC ver 3 */
#define CT_SD1 0x02 /* SD ver 1 */
#define CT_SD2 0x04 /* SD ver 2 */
#define CT_SDC (CT_SD1|CT_SD2) /* SD */
#define CT_BLOCK 0x08 /* Block addressing */
 
#define _DISKIO
#endif
/MSP430/MSP430_Audio_Cube/hal_SPI.c
0,0 → 1,462
//----------------------------------------------------------------------------
// This file contains functions that allow the MSP430 device to access the
// SPI interface. There are multiple instances of each function;
// the one to be compiled is selected by the system variable
// SPI_SER_INTF, defined in "hal_hardware_board.h".
//----------------------------------------------------------------------------
 
 
//----------------------------------------------------------------------------
// void halSPISetup(void)
//
// DESCRIPTION:
// Configures the assigned interface to function as a SPI port and
// initializes it.
//----------------------------------------------------------------------------
// void halSPIWriteReg(char addr, char value)
//
// DESCRIPTION:
// Writes "value" to a single configuration register at address "addr".
//----------------------------------------------------------------------------
// void halSPIWriteBurstReg(char addr, char *buffer, char count)
//
// DESCRIPTION:
// Writes values to multiple configuration registers, the first register being
// at address "addr". First data byte is at "buffer", and both addr and
// buffer are incremented sequentially (within the CCxxxx and MSP430,
// respectively) until "count" writes have been performed.
//----------------------------------------------------------------------------
// char halSPIReadReg(char addr)
//
// DESCRIPTION:
// Reads a single configuration register at address "addr" and returns the
// value read.
//----------------------------------------------------------------------------
// void halSPIReadBurstReg(char addr, char *buffer, char count)
//
// DESCRIPTION:
// Reads multiple configuration registers, the first register being at address
// "addr". Values read are deposited sequentially starting at address
// "buffer", until "count" registers have been read.
//----------------------------------------------------------------------------
// char halSPIReadStatus(char addr)
//
// DESCRIPTION:
// Special read function for reading status registers. Reads status register
// at register "addr" and returns the value read.
//----------------------------------------------------------------------------
// void halSPIStrobe(char strobe)
//
// DESCRIPTION:
// Special write function for writing to command strobe registers. Writes
// to the strobe at address "addr".
//----------------------------------------------------------------------------
 
/* ***********************************************************
* THIS PROGRAM IS PROVIDED "AS IS". TI MAKES NO WARRANTIES OR
* REPRESENTATIONS, EITHER EXPRESS, IMPLIED OR STATUTORY,
* INCLUDING ANY IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS
* FOR A PARTICULAR PURPOSE, LACK OF VIRUSES, ACCURACY OR
* COMPLETENESS OF RESPONSES, RESULTS AND LACK OF NEGLIGENCE.
* TI DISCLAIMS ANY WARRANTY OF TITLE, QUIET ENJOYMENT, QUIET
* POSSESSION, AND NON-INFRINGEMENT OF ANY THIRD PARTY
* INTELLECTUAL PROPERTY RIGHTS WITH REGARD TO THE PROGRAM OR
* YOUR USE OF THE PROGRAM.
*
* IN NO EVENT SHALL TI BE LIABLE FOR ANY SPECIAL, INCIDENTAL,
* CONSEQUENTIAL OR INDIRECT DAMAGES, HOWEVER CAUSED, ON ANY
* THEORY OF LIABILITY AND WHETHER OR NOT TI HAS BEEN ADVISED
* OF THE POSSIBILITY OF SUCH DAMAGES, ARISING IN ANY WAY OUT
* OF THIS AGREEMENT, THE PROGRAM, OR YOUR USE OF THE PROGRAM.
* EXCLUDED DAMAGES INCLUDE, BUT ARE NOT LIMITED TO, COST OF
* REMOVAL OR REINSTALLATION, COMPUTER TIME, LABOR COSTS, LOSS
* OF GOODWILL, LOSS OF PROFITS, LOSS OF SAVINGS, OR LOSS OF
* USE OR INTERRUPTION OF BUSINESS. IN NO EVENT WILL TI'S
* AGGREGATE LIABILITY UNDER THIS AGREEMENT OR ARISING OUT OF
* YOUR USE OF THE PROGRAM EXCEED FIVE HUNDRED DOLLARS
* (U.S.$500).
*
* Unless otherwise stated, the Program written and copyrighted
* by Texas Instruments is distributed as "freeware". You may,
* only under TI's copyright in the Program, use and modify the
* Program without any charge or restriction. You may
* distribute to third parties, provided that you transfer a
* copy of this license to the third party and the third party
* agrees to these terms by its first use of the Program. You
* must reproduce the copyright notice and any other legend of
* ownership on each copy or partial copy, of the Program.
*
* You acknowledge and agree that the Program contains
* copyrighted material, trade secrets and other TI proprietary
* information and is protected by copyright laws,
* international copyright treaties, and trade secret laws, as
* well as other intellectual property laws. To protect TI's
* rights in the Program, you agree not to decompile, reverse
* engineer, disassemble or otherwise translate any object code
* versions of the Program to a human-readable form. You agree
* that in no event will you alter, remove or destroy any
* copyright notice included in the Program. TI reserves all
* rights not specifically granted under this license. Except
* as specifically provided herein, nothing in this agreement
* shall be construed as conferring by implication, estoppel,
* or otherwise, upon you, any license or other right under any
* TI patents, copyrights or trade secrets.
*
* You may not use the Program in non-TI devices.
* ********************************************************* */
 
 
#ifndef _SPILIB_C
#define _SPILIB_C
//
//---------------------------------------------------------------
#include "hal_SPI.h"
#include "hal_hardware_board.h"
 
//#define withDMA
 
// SPI port functions
#if SPI_SER_INTF == SER_INTF_USART0
 
void halSPISetup(void)
{
UCTL0 = CHAR + SYNC + MM + SWRST; // 8-bit SPI Master **SWRST**
UTCTL0 = CKPL + SSEL1 + SSEL0 + STC; // SMCLK, 3-pin mode
UBR00 = 0x02; // UCLK/2
UBR10 = 0x00; // 0
UMCTL0 = 0x00; // No modulation
ME1 |= USPIE0; // Enable USART0 SPI mode
UCTL0 &= ~SWRST; // Initialize USART state machine
}
 
#elif SPI_SER_INTF == SER_INTF_USART1
 
void halSPISetup(void)
{
UCTL1 = CHAR + SYNC + MM + SWRST; // 8-bit SPI Master **SWRST**
UTCTL1 = CKPL + SSEL1 + SSEL0 + STC; // SMCLK, 3-pin mode
UBR01 = 0x02; // UCLK/2
UBR11 = 0x00; // 0
UMCTL1 = 0x00; // No modulation
ME2 |= USPIE1; // Enable USART1 SPI mode
UCTL1 &= ~SWRST; // Initialize USART state machine
}
 
#elif SPI_SER_INTF == SER_INTF_USCIA0
 
void halSPISetup(void)
{
UCA0CTL0 = UCMST+UCCKPL+UCMSB+UCSYNC; // 3-pin, 8-bit SPI master
UCA0CTL1 = UCSSEL_2 + UCSWRST; // SMCLK
halSPISetSpeedLow();
UCA0MCTL = 0;
SPI_PxSEL |= SPI_UCLK+SPI_SOMI+SPI_SIMO;
SPI_PxSEL2 |= SPI_UCLK+SPI_SOMI+SPI_SIMO;
SPI_PxOUT |= SPI_SIMO + SPI_SOMI + SPI_UCLK;
SPI_PxDIR |= SPI_SIMO + SPI_UCLK;
SPI_PxDIR &= ~SPI_SOMI;
UCA0CTL1 &= ~UCSWRST; // **Initialize USCI state machine**
}
 
void halSPISetSpeedHigh(void) {
UCA0BR0 = 4; // CLK_FREQ = BRCLK / 4
UCA0BR1 = 0;
}
 
void halSPISetSpeedLow(void) {
UCA0BR0 = 32; // CLK_FREQ = BRCLK / 32
UCA0BR1 = 0;
}
 
#elif SPI_SER_INTF == SER_INTF_USCIA1
 
void halSPISetup(void)
{
UCA1CTL0 = UCMST+UCCKPL+UCMSB+UCSYNC; // 3-pin, 8-bit SPI master
UCA1CTL1 = UCSSEL_2 + UCSWRST; // SMCLK
halSPISetSpeedLow();
UCA1MCTL = 0;
UCA1CTL1 &= ~UCSWRST; // **Initialize USCI state machine**
}
 
void halSPISetSpeedHigh(void) {
UCA1BR0 = 4; // CLK_FREQ = BRCLK / 4
UCA1BR1 = 0;
}
 
void halSPISetSpeedLow(void) {
UCA1BR0 = 32; // CLK_FREQ = BRCLK / 32
UCA1BR1 = 0;
}
 
#elif SPI_SER_INTF == SER_INTF_USCIB0
 
void halSPISetup(void)
{
UCB0CTL0 = UCCKPL+UCMSB+UCMST+UCMODE_0; // 3-pin, 8-bit SPI master
UCB0CTL1 = UCSSEL_2+UCSWRST; // SMCLK
halSPISetSpeedLow();
//UCB0MCTL = 0;
SPI_PxSEL |= SPI_UCLK+SPI_SOMI+SPI_SIMO;
SPI_PxSEL2 |= SPI_UCLK+SPI_SOMI+SPI_SIMO;
SPI_PxOUT |= SPI_SIMO + SPI_SOMI + SPI_UCLK;
// SPI_PxOUT &= ~SPI_UCLK;
SPI_PxDIR |= SPI_SIMO + SPI_UCLK;
SPI_PxDIR &= ~SPI_SOMI;
UCB0CTL1 &= ~UCSWRST; // **Initialize USCI state machine**
}
 
void halSPISetSpeedHigh(void) {
UCB0BR0 = 4; // CLK_FREQ = BRCLK / 4
UCB0BR1 = 0;
}
 
void halSPISetSpeedLow(void) {
UCB0BR0 = 32; // CLK_FREQ = BRCLK / 32
UCB0BR1 = 0;
}
 
#elif SPI_SER_INTF == SER_INTF_USCIB1
 
void halSPISetup(void)
{
UCB1CTL0 = UCMST+UCCKPL+UCMSB+UCSYNC; // 3-pin, 8-bit SPI master
UCB1CTL1 = UCSSEL_2+UCSWRST; // SMCLK
halSPISetSpeedLow();
UCB1MCTL = 0;
UCB1CTL1 &= ~UCSWRST; // **Initialize USCI state machine**
}
 
void halSPISetSpeedHigh(void) {
UCB1BR0 = 4; // CLK_FREQ = BRCLK / 4
UCB1BR1 = 0;
}
 
void halSPISetSpeedLow(void) {
UCB1BR0 = 32; // CLK_FREQ = BRCLK / 32
UCB1BR1 = 0;
}
 
#elif SPI_SER_INTF == SER_INTF_USI
 
void halSPISetup(void)
{
USICTL0 = USIPE7+USIPE6+USIPE5+USIMST+USIGE+USIOE+USISWRST; // Port, SPI master
USICKCTL = USISSEL_2; // SCLK = SMCLK
USICTL0 &= ~USISWRST; // USI released for operation
 
// USISRL = 0x00; // Ensure SDO low instead of high,
// USICNT = 1; // to avoid conflict with CCxxxx
}
 
void halSPISetSpeedHigh(void) {
USICKCTL = (USICKCTL & 0x1F) | USIDIV_2; // CLK_FREQ = BRCLK / 4
}
 
void halSPISetSpeedLow(void) {
USICKCTL = (USICKCTL & 0x1F) | USIDIV_5; // CLK_FREQ = BRCLK / 32
}
 
#elif SPI_SER_INTF == SER_INTF_BITBANG
 
void spi_bitbang_out(unsigned char);
unsigned char spi_bitbang_in();
unsigned char spi_bitbang_in_data;
 
void halSPISetup(void)
{
}
 
// Output eight-bit value using selected bit-bang pins
void spi_bitbang_out(unsigned char value)
{
char x;
 
for(x=8;x>0;x--)
{
 
if(value & 0x80) // If bit is high...
MMC_PxOUT |= MMC_SIMO;// Set SIMO high...
else
MMC_PxOUT &= ~MMC_SIMO;//Set SIMO low...
value = value << 1; // Rotate bits
 
MMC_PxOUT &= ~MMC_UCLK; // Set clock low
MMC_PxOUT |= MMC_UCLK; // Set clock high
}
}
 
// Input eight-bit value using selected bit-bang pins
unsigned char spi_bitbang_in()
{
char x=0;
int y;
 
for(y=8;y>0;y--)
{
MMC_PxOUT &= ~MMC_UCLK; // Set clock low
MMC_PxOUT |= MMC_UCLK; // Set clock high
 
x = x << 1; // Rotate bits
if(MMC_PxIN & MMC_SOMI) // If bit is high...
x |= 0x01; // input bit high
}
spi_bitbang_in_data = x;
return(x);
}
// Input eight-bit value using selected bit-bang pins
unsigned char spi_bitbang_inout(unsigned char value)
{
char x=0;
int y;
 
for(y=8;y>0;y--)
{
if(value & 0x80) // If bit is high...
MMC_PxOUT |= MMC_SIMO;// Set SIMO high...
else
MMC_PxOUT &= ~MMC_SIMO;//Set SIMO low...
value = value << 1; // Rotate bits
 
MMC_PxOUT &= ~MMC_UCLK; // Set clock low
MMC_PxOUT |= MMC_UCLK; // Set clock high
 
x = x << 1; // Rotate bits
if(MMC_PxIN & MMC_SOMI) // If bit is high...
x |= 0x01; // input bit high
}
spi_bitbang_in_data = x;
return(x);
}
#endif
 
 
//Send one byte via SPI
unsigned char spiSendByte(const unsigned char data)
{
while (halSPITXREADY == 0); // wait while not ready for TX
halSPI_SEND(data); // write
while (halSPIRXREADY == 0); // wait for RX buffer (full)
return (halSPIRXBUF);
}
 
 
//Read a frame of bytes via SPI
unsigned char spiReadFrame(unsigned char* pBuffer, unsigned int size)
{
#ifndef withDMA
unsigned long i = 0;
// clock the actual data transfer and receive the bytes; spi_read automatically finds the Data Block
for (i = 0; i < size; i++){
while (halSPITXREADY == 0); // wait while not ready for TX
halSPI_SEND(DUMMY_CHAR); // dummy write
while (halSPIRXREADY == 0); // wait for RX buffer (full)
pBuffer[i] = halSPIRXBUF;
}
#else
U1IFG &= ~(URXIFG1 + URXIFG1); /* clear flags */
/* Get the block */
/* DMA trigger is UART1 receive for both DMA0 and DMA1 */
DMACTL0 &= ~(DMA0TSEL_15 | DMA1TSEL_15);
DMACTL0 |= (DMA0TSEL_9 | DMA1TSEL_9);
/* Source DMA address: receive register. */
DMA0SA = U1RXBUF_;
/* Destination DMA address: the user data buffer. */
DMA0DA = (unsigned short)pBuffer;
/* The size of the block to be transferred */
DMA0SZ = size;
/* Configure the DMA transfer*/
DMA0CTL =
DMAIE | /* Enable interrupt */
DMADT_0 | /* Single transfer mode */
DMASBDB | /* Byte mode */
DMAEN | /* Enable DMA */
DMADSTINCR1 | DMADSTINCR0; /* Increment the destination address */
 
/* We depend on the DMA priorities here. Both triggers occur at
the same time, since the source is identical. DMA0 is handled
first, and retrieves the byte. DMA1 is triggered next, and
sends the next byte. */
/* Source DMA address: constant 0xFF (don't increment)*/
DMA1SA = U1TXBUF_;
/* Destination DMA address: the transmit buffer. */
DMA1DA = U1TXBUF_;
/* Increment the destination address */
/* The size of the block to be transferred */
DMA1SZ = count-1;
/* Configure the DMA transfer*/
DMA1CTL =
DMADT_0 | /* Single transfer mode */
DMASBDB | /* Byte mode */
DMAEN; /* Enable DMA */
 
/* Kick off the transfer by sending the first byte */
halMMC_SEND(0xFF);
_EINT(); LPM0; // wait till done
#endif
return(0);
}
 
 
//Send a frame of bytes via SPI
unsigned char spiSendFrame(unsigned char* pBuffer, unsigned int size)
{
#ifndef withDMA
unsigned long i = 0;
// clock the actual data transfer and receive the bytes; spi_read automatically finds the Data Block
for (i = 0; i < size; i++){
while (halSPITXREADY ==0); // wait while not ready for TX
halSPI_SEND(pBuffer[i]); // write
while (halSPIRXREADY ==0); // wait for RX buffer (full)
pBuffer[i] = halSPIRXBUF;
}
#else
/* Get the block */
/* DMA trigger is UART send */
DMACTL0 &= ~(DMA0TSEL_15);
DMACTL0 |= (DMA0TSEL_9);
/* Source DMA address: the data buffer. */
DMA0SA = (unsigned short)pBuffer;
/* Destination DMA address: the UART send register. */
DMA0DA = U1TXBUF_;
/* The size of the block to be transferred */
DMA0SZ = count;
/* Configure the DMA transfer*/
DMA0CTL =
DMAREQ | /* start transfer */
DMADT_0 | /* Single transfer mode */
DMASBDB | /* Byte mode */
DMAEN | /* Enable DMA */
DMASRCINCR1 | DMASRCINCR0; /* Increment the source address */
#endif
return(0);
}
 
 
#ifdef withDMA
#ifdef __IAR_SYSTEMS_ICC__
#if __VER__ < 200
interrupt[DACDMA_VECTOR] void DMA_isr(void)
#else
#pragma vector = DACDMA_VECTOR
__interrupt void DMA_isr(void)
#endif
#endif
 
#ifdef __CROSSWORKS__
void DMA_isr(void) __interrupt[DACDMA_VECTOR]
#endif
 
#ifdef __TI_COMPILER_VERSION__
__interrupt void DMA_isr(void);
DMA_ISR(DMA_isr)
__interrupt void DMA_isr(void)
#endif
{
DMA0CTL &= ~(DMAIFG);
LPM3_EXIT;
}
#endif
 
//---------------------------------------------------------------------
#endif /* _SPILIB_C */
/MSP430/MSP430_Audio_Cube/hal_SPI.h
0,0 → 1,123
// *************************************************************************************
//
// Filename: hal_SPI.h:
// Declarations for Communication with the MMC (see mmc.c) in unprotected SPI mode.
//
// Version 1.1
// added ul declaration in macros mmcWriteSector and mmcReadSector
// *************************************************************************************
 
#ifndef _SPILIB_H
#define _SPILIB_H
 
#include "hal_hardware_board.h"
 
//----------------------------------------------------------------------------
// These constants are used to identify the chosen SPI and UART
// interfaces.
//----------------------------------------------------------------------------
#define SER_INTF_NULL 0
#define SER_INTF_USART0 1
#define SER_INTF_USART1 2
#define SER_INTF_USCIA0 3
#define SER_INTF_USCIA1 4
#define SER_INTF_USCIB0 5
#define SER_INTF_USCIB1 6
#define SER_INTF_USI 7
#define SER_INTF_BITBANG 8
 
 
#if SPI_SER_INTF == SER_INTF_USART0
#define halSPIRXBUF U0RXBUF
#define halSPI_SEND(x) U0TXBUF=x
#define halSPITXREADY (IFG1&UTXIFG0) /* Wait for TX to be ready */
#define halSPITXDONE (U0TCTL&TXEPT) /* Wait for TX to finish */
#define halSPIRXREADY (IFG1&URXIFG0) /* Wait for TX to be ready */
#define halSPIRXFG_CLR IFG1 &= ~URXIFG0
#define halSPI_PxIN SPI_USART0_PxIN
#define halSPI_SOMI SPI_USART0_SOMI
 
#elif SPI_SER_INTF == SER_INTF_USART1
#define halSPIRXBUF U1RXBUF
#define halSPI_SEND(x) U1TXBUF=x
#define halSPITXREADY (IFG2&UTXIFG1) /* Wait for TX to be ready */
#define halSPITXDONE (U1TCTL&TXEPT) /* Wait for TX to finish */
#define halSPIRXREADY (IFG2&URXIFG1) /* Wait for TX to be ready */
#define halSPIRXFG_CLR IFG2 &= ~URXIFG1
#define halSPI_PxIN SPI_USART1_PxIN
#define halSPI_SOMI SPI_USART1_SOMI
 
#elif SPI_SER_INTF == SER_INTF_USCIA0
#define halSPIRXBUF UCA0RXBUF
#define halSPI_SEND(x) UCA0TXBUF=x
#define halSPITXREADY (IFG2&UCA0TXIFG) /* Wait for TX to be ready */
#define halSPITXDONE !(UCA0STAT&UCBUSY) /* Wait for TX to finish */
#define halSPIRXREADY (IFG2&UCB0RXIFG) /* Wait for TX to be ready */
#define halSPIRXFG_CLR IFG2 &= ~UCA0RXIFG
#define halSPI_PxIN SPI_USART0_PxIN
#define halSPI_SOMI SPI_USART0_SOMI
 
#elif SPI_SER_INTF == SER_INTF_USCIA1
#define halSPIRXBUF UCA1RXBUF
#define halSPI_SEND(x) UCA1TXBUF=x
#define halSPITXREADY (UC1IFG&UCA1TXIFG) /* Wait for TX to be ready */
#define halSPITXDONE !(UCA1STAT&UCBUSY) /* Wait for TX to finish */
#define halSPIRXREADY (UC1IFG&UCA1RXIFG) /* Wait for TX to be ready */
#define halSPIRXFG_CLR UC1IFG &= ~UCA1RXIFG
#define halSPI_PxIN SPI_USART0_PxIN
#define halSPI_SOMI SPI_USART0_SOMI
 
#elif SPI_SER_INTF == SER_INTF_USCIB0
#define halSPIRXBUF UCB0RXBUF
#define halSPI_SEND(x) UCB0TXBUF=x
#define halSPITXREADY (UC0IFG&UCB0TXIFG) /* Wait for TX to be ready */
#define halSPITXDONE !(UCB0STAT&UCBUSY) /* Wait for TX to finish */
#define halSPIRXREADY (UC0IFG&UCB0RXIFG) /* Wait for TX to be ready */
#define halSPIRXFG_CLR UC0IFG &= ~UCB0RXIFG
#define halSPI_PxIN SPI_USART0_PxIN
#define halSPI_SOMI SPI_USART0_SOMI
 
#elif SPI_SER_INTF == SER_INTF_USCIB1
#define halSPIRXBUF UCB1RXBUF
#define halSPI_SEND(x) UCB1TXBUF=x
#define halSPITXREADY (UC1IFG&UCB1TXIFG) /* Wait for TX to be ready */
#define halSPITXDONE !(UCB1STAT&UCBUSY) /* Wait for TX to finish */
#define halSPIRXREADY (UC1IFG&UCB1RXIFG) /* Wait for TX to be ready */
#define halSPIRXFG_CLR UC1IFG &= ~UCB1RXIFG
#define halSPI_PxIN SPI_USART0_PxIN
#define halSPI_SOMI SPI_USART0_SOMI
 
#elif SPI_SER_INTF == SER_INTF_USI
#define halSPIRXBUF USISRL
#define halSPI_SEND(x) USISRL = x; USICNT = 8
#define halSPITXREADY (USICTL1&USIIFG)
#define halSPITXDONE (USICTL1&USIIFG)
#define halSPIRXREADY (USICTL1&USIIFG)
#define halSPIRXFG_CLR
#define halSPI_PxIN SPI_BITBANG_PxIN
#define halSPI_SOMI SPI_BITBANG_SOMI
 
#elif SPI_SER_INTF == SER_INTF_BITBANG
#define halSPIRXBUF spi_bitbang_in_data
#define halSPI_SEND(x) spi_bitbang_inout(x)
#define halSPITXREADY 1
#define halSPITXDONE 1
#define halSPIRXREADY 1
#define halSPIRXFG_CLR
#define halSPI_PxIN SPI_BITBANG_PxIN
#define halSPI_SOMI SPI_BITBANG_SOMI
#endif
 
// Variables
#define DUMMY_CHAR 0xFF
 
// Function Prototypes
void halSPISetup (void);
unsigned char spiSendByte(const unsigned char data);
unsigned char spiReadFrame(unsigned char* pBuffer, unsigned int size);
unsigned char spiSendFrame(unsigned char* pBuffer, unsigned int size);
void halSPISetSpeedHigh(void);
void halSPISetSpeedLow(void);
 
 
#endif /* _SPILIB_H */
/MSP430/MSP430_Audio_Cube/hal_hardware_board.h
0,0 → 1,152
//----------------------------------------------------------------------------
// This include file contains definitions specific to the hardware board.
//----------------------------------------------------------------------------
// ********************************************************
 
#include <msp430.h>
 
//----------------------------------------------------------------------------
// SPI/UART port selections. Select which port will be used for the interface
//----------------------------------------------------------------------------
 
// Define the SPI hardware module
#define SPI_SER_INTF SER_INTF_USCIB0
 
// SPI port definitions // Adjust this according to the
#define SPI_PxSEL P1SEL // MSP430 device being used.
#define SPI_PxSEL2 P1SEL2 // Adjust the values for the chosen
#define SPI_PxDIR P1DIR // interfaces, according to the pin
#define SPI_PxIN P1IN // assignments indicated in the
#define SPI_PxOUT P1OUT // chosen MSP430 device datasheet.
#define SPI_SIMO BIT7
#define SPI_SOMI BIT6
#define SPI_UCLK BIT5
 
//----------------------------------------------------------------------------
// MMC/SD port selections. Select which port will be used for the interface
//----------------------------------------------------------------------------
 
// MMC port definitions // Adjust the values for the chosen
#define MMC_PxSEL SPI_PxSEL // interfaces, according to the pin
#define MMC_PxDIR SPI_PxDIR // assignments indicated in the
#define MMC_PxIN SPI_PxIN // chosen MSP430 device datasheet.
#define MMC_PxOUT SPI_PxOUT
#define MMC_SIMO SPI_SIMO
#define MMC_SOMI SPI_SOMI
#define MMC_UCLK SPI_UCLK
 
// Chip Select
#define MMC_CS_PxOUT P2OUT
#define MMC_CS_PxDIR P2DIR
#define MMC_CS BIT0
 
// Card Detect
#define MMC_CD_PxIN P2IN
#define MMC_CD_PxDIR P2DIR
#define MMC_CD BIT2
 
// CS Control
#define MMC_CS_LOW() MMC_CS_PxOUT &= ~MMC_CS // Card Select
#define MMC_CS_HIGH() while(!halSPITXDONE); MMC_CS_PxOUT |= MMC_CS // Card Deselect
 
//----------------------------------------------------------------------------
// VS10XX port selections. Select which port will be used for the interface
//----------------------------------------------------------------------------
 
// VS10xx port definitions
#define VS10XX_PxSEL SPI_PxSEL
#define VS10XX_PxDIR SPI_PxDIR
#define VS10XX_PxIN SPI_PxIN
#define VS10XX_PxOUT SPI_PxOUT
#define VS10XX_SIMO SPI_SIMO
#define VS10XX_SOMI SPI_SOMI
#define VS10XX_UCLK SPI_UCLK
 
// Chip Command Select
#define VS10XX_CS_PxOUT P2OUT
#define VS10XX_CS_PxDIR P2DIR
#define VS10XX_CS BIT5
 
// Chip Data Select
#define VS10XX_DC_PxOUT P2OUT
#define VS10XX_DC_PxDIR P2DIR
#define VS10XX_DC BIT1
 
// DREQ Line
#define VS10XX_DREQ_PxIN P2IN
#define VS10XX_DREQ_PxDIR P2DIR
#define VS10XX_DREQ BIT4
 
// CS Control
#define VS10XX_CS_LOW() VS10XX_CS_PxOUT &= ~VS10XX_CS
#define VS10XX_CS_HIGH() while(!halSPITXDONE); VS10XX_CS_PxOUT |= VS10XX_CS
 
// DS Control
#define VS10XX_DS_LOW() VS10XX_DC_PxOUT &= ~VS10XX_DC
#define VS10XX_DS_HIGH() while(!halSPITXDONE); VS10XX_DC_PxOUT |= VS10XX_DC
 
// DREQ Control
#define VS10XX_DREQ_STAT() VS10XX_DREQ_PxIN & VS10XX_DREQ
 
//----------------------------------------------------------------------------
// Accelerometer port selections. Select which port will be used for the interface
//----------------------------------------------------------------------------
 
// Accelerometer Chip Select
#define ADXL_CS_PxOUT P1OUT
#define ADXL_CS_PxDIR P1DIR
#define ADXL_CS BIT4
 
// Interrupt 1
#define ADXL_INT1_PxIN P1IN
#define ADXL_INT1_PxDIR P1DIR
#define ADXL_INT1_PxIFG P1IFG
#define ADXL_INT1_PxIES P1IES
#define ADXL_INT1_PxIE P1IE
#define ADXL_INT1 BIT3
 
// Interrupt 2
#define ADXL_INT2_PxIN P1IN
#define ADXL_INT2_PxDIR P1DIR
#define ADXL_INT2_PxIFG P1IFG
#define ADXL_INT2_PxIES P1IES
#define ADXL_INT2_PxIE P1IE
#define ADXL_INT2 BIT2
 
// CS Control
#define ADXL_CS_LOW() ADXL_CS_PxOUT &= ~ADXL_CS
#define ADXL_CS_HIGH() while(!halSPITXDONE); ADXL_CS_PxOUT |= ADXL_CS
 
#define ADXL_INT1_STAT() ADXL_INT1_PxIN & ADXL_INT1
#define ADXL_INT2_STAT() ADXL_INT2_PxIN & ADXL_INT2
 
//----------------------------------------------------------------------------
// Misc port selections. Select which port will be used for the interface
//----------------------------------------------------------------------------
 
// Reset Line
#define LED_RED_PxOUT P1OUT
#define LED_RED_PxDIR P1DIR
#define LED_RED BIT1
 
// Reset Line
#define LED_GREEN_PxOUT P1OUT
#define LED_GREEN_PxDIR P1DIR
#define LED_GREEN BIT0
 
// Reset Line
#define CHIP_RESET_PxOUT P2OUT
#define CHIP_RESET_PxDIR P2DIR
#define CHIP_RESET BIT3
 
// Reset Control
#define CHIP_RESET_LOW() CHIP_RESET_PxOUT &= ~CHIP_RESET
#define CHIP_RESET_HIGH() CHIP_RESET_PxOUT |= CHIP_RESET
 
// Red LED
#define LED_RED_LOW() LED_RED_PxOUT &= ~LED_RED
#define LED_RED_HIGH() LED_RED_PxOUT |= LED_RED
 
// Green LED
#define LED_GREEN_LOW() LED_GREEN_PxOUT &= ~LED_GREEN
#define LED_GREEN_HIGH() LED_GREEN_PxOUT |= LED_GREEN
/MSP430/MSP430_Audio_Cube/imafix.plg
0,0 → 1,38
/* User application code loading tables for VS10xx */
 
#if 0
void LoadUserCode(void) {
int i = 0;
 
while (i<sizeof(plugin)/sizeof(plugin[0])) {
unsigned short addr, n, val;
addr = plugin[i++];
n = plugin[i++];
if (n & 0x8000U) { /* RLE run, replicate n samples */
n &= 0x7FFF;
val = plugin[i++];
while (n--) {
WriteVS10xxRegister(addr, val);
}
} else { /* Copy run, copy n samples */
while (n--) {
val = plugin[i++];
WriteVS10xxRegister(addr, val);
}
}
}
}
#endif
 
#ifndef SKIP_PLUGIN_VARNAME
#define PLUGIN_SIZE 40
const unsigned short plugin[40] = { /* Compressed plugin */
#endif
0x0007, 0x0001, 0x8010, 0x0006, 0x001c, 0x3e12, 0xb817, 0x3e14, /* 0 */
0xf812, 0x3e01, 0xb811, 0x0007, 0x9717, 0x0020, 0xffd2, 0x0030, /* 8 */
0x11d1, 0x3111, 0x8024, 0x3704, 0xc024, 0x3b81, 0x8024, 0x3101, /* 10 */
0x8024, 0x3b81, 0x8024, 0x3f04, 0xc024, 0x2808, 0x4800, 0x36f1, /* 18 */
0x9811, 0x0007, 0x0001, 0x8028, 0x0006, 0x0002, 0x2a00, 0x040e,
#ifndef SKIP_PLUGIN_VARNAME
};
#endif
/MSP430/MSP430_Audio_Cube/integer.h
0,0 → 1,28
/*-------------------------------------------*/
/* Integer type definitions for FatFs module */
/*-------------------------------------------*/
 
#ifndef _INTEGER
#define _INTEGER
 
/* These types must be 16-bit, 32-bit or larger integer */
typedef int INT;
typedef unsigned int UINT;
 
/* These types must be 8-bit integer */
typedef char CHAR;
typedef unsigned char UCHAR;
typedef unsigned char BYTE;
 
/* These types must be 16-bit integer */
typedef short SHORT;
typedef unsigned short USHORT;
typedef unsigned short WORD;
typedef unsigned short WCHAR;
 
/* These types must be 32-bit integer */
typedef long LONG;
typedef unsigned long ULONG;
typedef unsigned long DWORD;
 
#endif
/MSP430/MSP430_Audio_Cube/lnk_msp430g2553.cmd
0,0 → 1,132
/* ============================================================================ */
/* Copyright (c) 2013, Texas Instruments Incorporated */
/* All rights reserved. */
/* */
/* Redistribution and use in source and binary forms, with or without */
/* modification, are permitted provided that the following conditions */
/* are met: */
/* */
/* * Redistributions of source code must retain the above copyright */
/* notice, this list of conditions and the following disclaimer. */
/* */
/* * Redistributions in binary form must reproduce the above copyright */
/* notice, this list of conditions and the following disclaimer in the */
/* documentation and/or other materials provided with the distribution. */
/* */
/* * Neither the name of Texas Instruments Incorporated nor the names of */
/* its contributors may be used to endorse or promote products derived */
/* from this software without specific prior written permission. */
/* */
/* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" */
/* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, */
/* THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR */
/* PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR */
/* CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, */
/* EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, */
/* PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; */
/* OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, */
/* WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR */
/* OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, */
/* EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. */
/* ============================================================================ */
 
/******************************************************************************/
/* lnk_msp430g2553.cmd - LINKER COMMAND FILE FOR LINKING MSP430G2553 PROGRAMS */
/* */
/* Usage: lnk430 <obj files...> -o <out file> -m <map file> lnk.cmd */
/* cl430 <src files...> -z -o <out file> -m <map file> lnk.cmd */
/* */
/*----------------------------------------------------------------------------*/
/* These linker options are for command line linking only. For IDE linking, */
/* you should set your linker options in Project Properties */
/* -c LINK USING C CONVENTIONS */
/* -stack 0x0100 SOFTWARE STACK SIZE */
/* -heap 0x0100 HEAP AREA SIZE */
/* */
/*----------------------------------------------------------------------------*/
 
 
/****************************************************************************/
/* SPECIFY THE SYSTEM MEMORY MAP */
/****************************************************************************/
 
MEMORY
{
SFR : origin = 0x0000, length = 0x0010
PERIPHERALS_8BIT : origin = 0x0010, length = 0x00F0
PERIPHERALS_16BIT : origin = 0x0100, length = 0x0100
RAM : origin = 0x0200, length = 0x0200
INFOA : origin = 0x10C0, length = 0x0040
INFOB : origin = 0x1080, length = 0x0040
INFOC : origin = 0x1040, length = 0x0040
INFOD : origin = 0x1000, length = 0x0040
FLASH : origin = 0xC000, length = 0x3FE0
INT00 : origin = 0xFFE0, length = 0x0002
INT01 : origin = 0xFFE2, length = 0x0002
INT02 : origin = 0xFFE4, length = 0x0002
INT03 : origin = 0xFFE6, length = 0x0002
INT04 : origin = 0xFFE8, length = 0x0002
INT05 : origin = 0xFFEA, length = 0x0002
INT06 : origin = 0xFFEC, length = 0x0002
INT07 : origin = 0xFFEE, length = 0x0002
INT08 : origin = 0xFFF0, length = 0x0002
INT09 : origin = 0xFFF2, length = 0x0002
INT10 : origin = 0xFFF4, length = 0x0002
INT11 : origin = 0xFFF6, length = 0x0002
INT12 : origin = 0xFFF8, length = 0x0002
INT13 : origin = 0xFFFA, length = 0x0002
INT14 : origin = 0xFFFC, length = 0x0002
RESET : origin = 0xFFFE, length = 0x0002
}
 
/****************************************************************************/
/* SPECIFY THE SECTIONS ALLOCATION INTO MEMORY */
/****************************************************************************/
 
SECTIONS
{
.bss : {} > RAM /* GLOBAL & STATIC VARS */
.data : {} > RAM /* GLOBAL & STATIC VARS */
.sysmem : {} > RAM /* DYNAMIC MEMORY ALLOCATION AREA */
.stack : {} > RAM (HIGH) /* SOFTWARE SYSTEM STACK */
 
.text : {} > FLASH /* CODE */
.cinit : {} > FLASH /* INITIALIZATION TABLES */
.const : {} > FLASH /* CONSTANT DATA */
.cio : {} > RAM /* C I/O BUFFER */
 
.pinit : {} > FLASH /* C++ CONSTRUCTOR TABLES */
.init_array : {} > FLASH /* C++ CONSTRUCTOR TABLES */
.mspabi.exidx : {} > FLASH /* C++ CONSTRUCTOR TABLES */
.mspabi.extab : {} > FLASH /* C++ CONSTRUCTOR TABLES */
 
.infoA : {} > INFOA /* MSP430 INFO FLASH MEMORY SEGMENTS */
.infoB : {} > INFOB
.infoC : {} > INFOC
.infoD : {} > INFOD
 
/* MSP430 INTERRUPT VECTORS */
.int00 : {} > INT00
.int01 : {} > INT01
PORT1 : { * ( .int02 ) } > INT02 type = VECT_INIT
PORT2 : { * ( .int03 ) } > INT03 type = VECT_INIT
.int04 : {} > INT04
ADC10 : { * ( .int05 ) } > INT05 type = VECT_INIT
USCIAB0TX : { * ( .int06 ) } > INT06 type = VECT_INIT
USCIAB0RX : { * ( .int07 ) } > INT07 type = VECT_INIT
TIMER0_A1 : { * ( .int08 ) } > INT08 type = VECT_INIT
TIMER0_A0 : { * ( .int09 ) } > INT09 type = VECT_INIT
WDT : { * ( .int10 ) } > INT10 type = VECT_INIT
COMPARATORA : { * ( .int11 ) } > INT11 type = VECT_INIT
TIMER1_A1 : { * ( .int12 ) } > INT12 type = VECT_INIT
TIMER1_A0 : { * ( .int13 ) } > INT13 type = VECT_INIT
NMI : { * ( .int14 ) } > INT14 type = VECT_INIT
.reset : {} > RESET /* MSP430 RESET VECTOR */
}
 
/****************************************************************************/
/* INCLUDE PERIPHERALS MEMORY MAP */
/****************************************************************************/
 
-l msp430g2553.cmd
 
/MSP430/MSP430_Audio_Cube/main.c
0,0 → 1,222
#include <msp430.h>
#include <stdio.h>
#include <stdlib.h>
 
#include "hal_SPI.h"
#include "mmc.h"
#include "diskio.h"
#include "pff.h"
#include "player.h"
#include "adxl345.h"
 
/**
* Takes an initialized DIR and returns the number of sub-dir and files
*/
FRESULT directory_info(char *path, int *dir_count, int *file_count) {
FILINFO finfo;
FRESULT result;
DIR dir;
 
*dir_count = 0;
*file_count = 0;
 
result = pf_opendir(&dir, path);
if (result == FR_OK) {
for (;;) {
result = pf_readdir(&dir, &finfo);
// Break upon end of list
if (result != FR_OK || finfo.fname[0] == 0) break;
// Increment the directory or file count
if (finfo.fattrib & AM_DIR) {
*dir_count = *dir_count + 1;
} else {
*file_count = *file_count + 1;
}
}
}
 
return result;
}
 
static int file_count, dir_count;
static char filename[16];
 
int main(void) {
WDTCTL = WDTPW | WDTHOLD; // Stop watchdog timer
 
/* --- Set Oscillator Settings (8Mhz) --------------- */
// Set DCO to 5, MOD to 0
DCOCTL = CALDCO_16MHZ;
// Set RSEL to 13
BCSCTL1 = CALBC1_16MHZ;
// MCLK = DCO/1, SMCLK = MCLK/1
BCSCTL2 = SELM_0 | DIVM_0 | DIVS_0;
// LFXT1 = VLOCLK, 1pF termination
BCSCTL3 = LFXT1S_2 | XCAP_0;
// Disable oscillator fault interrupt
IE1 &= ~OFIE;
/* -------------------------------------------------- */
 
/* --- Initialize I/O Ports ------------------------- */
P2SEL = 0x0; // P2SEL resets to 0xC0
 
// SD Chip Select (idle high)
MMC_CS_PxOUT |= MMC_CS;
MMC_CS_PxDIR |= MMC_CS;
 
// SD Card Detect (input)
MMC_CD_PxDIR &= ~MMC_CD;
 
// VS1053 Chip Select (idle high)
VS10XX_CS_PxOUT |= VS10XX_CS;
VS10XX_CS_PxDIR |= VS10XX_CS;
 
// VS1053 Data/Command Select (idle high)
VS10XX_DC_PxOUT |= VS10XX_DC;
VS10XX_DC_PxDIR |= VS10XX_DC;
 
// VS1053 DREQ Line (input)
VS10XX_DREQ_PxDIR &= ~VS10XX_DREQ;
 
// Accelerometer Chip Select (idle high)
ADXL_CS_PxOUT |= ADXL_CS;
ADXL_CS_PxDIR |= ADXL_CS;
 
// Accelerometer Interrupt 1 (input)
ADXL_INT1_PxDIR &= ~ADXL_INT1;
ADXL_INT1_PxIES |= ADXL_INT1; // Interrupt on High -> Low
ADXL_INT1_PxIFG &= ~ADXL_INT1; // Clear interrupt flag
ADXL_INT1_PxIE |= ADXL_INT1; // Enable interrupt
 
// Accelerometer Interrupt 2 (input)
ADXL_INT2_PxDIR &= ~ADXL_INT2;
// ADXL_INT2_PxIES |= ADXL_INT2; // Interrupt on High -> Low
// ADXL_INT2_PxIFG &= ~ADXL_INT2; // Clear interrupt flag
// ADXL_INT2_PxIE |= ADXL_INT2; // Enable interrupt
 
// Reset (idle low)
CHIP_RESET_PxOUT &= ~CHIP_RESET;
CHIP_RESET_PxDIR |= CHIP_RESET;
 
// Red LED (idle low)
LED_RED_PxOUT &= ~LED_RED;
LED_RED_PxDIR |= LED_RED;
 
// Green LED (idle low)
LED_GREEN_PxOUT &= ~LED_GREEN;
LED_GREEN_PxDIR |= LED_GREEN;
 
// Initialize port 3 even though it doesnt exist on the device
P3DIR = 0xFF;
P3OUT = 0x00;
/* -------------------------------------------------- */
 
unsigned char status = 1;
int acc_x, acc_y, acc_z;
FATFS fs;
FRESULT result = FR_NOT_READY;
 
// Init SPI Module
halSPISetup();
 
// __delay_cycles(32000000);
 
// Attempt the startup procedure four times
int i;
for (i = 0; i < 4; i++) {
 
// Initialize the accelerometer
if (ADXLInit() == 0) {
 
// Sum the accelerometer values to seed the randomizer
ADXLRead(&acc_x, &acc_y, &acc_z);
srand(acc_x + acc_y + acc_z);
 
// Mount the SD card
result = pf_mount(&fs);
if (result == FR_OK) {
 
// Acquire the number of subdirectories and files in the root directory
result = directory_info("/", &dir_count, &file_count);
 
if (result == FR_OK && file_count > 0) {
 
CHIP_RESET_HIGH();
 
if (VS1053Init() == 0) {
LED_GREEN_HIGH();
__delay_cycles(1000000);
LED_GREEN_LOW();
 
// If everything went well, play the first track
status = 0;
VS1053PlayFile("track1.mp3");
break;
 
} else { // VS1053Init()
continue;
}
 
} else { // directory_info
continue;
}
 
} else { // pf_mount()
continue;
}
 
} else { // ADXLInit()
continue;
}
}
 
// If everything is ok, enable accelerometer interrupts
if (status == 0) {
ADXLInitInterrupts();
} else {
// Otherwise turn off everything
LED_RED_HIGH();
void ADXLStandbyOn(void);
}
 
// Turn off all chips except the accelerometer
CHIP_RESET_LOW();
_BIS_SR(LPM4_bits + GIE);
 
}
 
#pragma vector=PORT1_VECTOR
__interrupt void Port1_Interrupt(void) {
 
if (ADXL_INT1_PxIFG & ADXL_INT1) {
LED_GREEN_HIGH();
__delay_cycles(1000000);
LED_GREEN_LOW();
 
// Bring chips out of low-power state
CHIP_RESET_HIGH();
VSInitSoftware();
 
// Play a random file
int i = (rand() % file_count) + 1;
snprintf(filename, 16, "track%d.mp3", i);
VS1053PlayFile(filename);
 
// Return chips to low-power state
CHIP_RESET_LOW();
 
// Clear the interrupt flag
ADXL_INT1_PxIFG &= ~ADXL_INT1;
}
 
// if (ADXL_INT2_PxIFG & ADXL_INT2) {
// LED_RED_HIGH();
// __delay_cycles(2000000);
// LED_RED_LOW();
//
// // Clear the interrupt flag
// ADXL_INT2_PxIFG &= ~ADXL_INT2;
// }
 
ADXLClearInterrupts();
}
/MSP430/MSP430_Audio_Cube/mmc.c
0,0 → 1,535
// ***********************************************************
// File: mmc.c
// Description: Library to access a MultiMediaCard
// functions: init, read, write ...
// C. Speck / S. Schauer
// Texas Instruments, Inc
// June 2005
//
// Version 1.1
// corrected comments about connection the MMC to the MSP430
// increased timeout in mmcGetXXResponse
//
// ***********************************************************
// MMC Lib
// ***********************************************************
 
 
/* ***********************************************************
* THIS PROGRAM IS PROVIDED "AS IS". TI MAKES NO WARRANTIES OR
* REPRESENTATIONS, EITHER EXPRESS, IMPLIED OR STATUTORY,
* INCLUDING ANY IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS
* FOR A PARTICULAR PURPOSE, LACK OF VIRUSES, ACCURACY OR
* COMPLETENESS OF RESPONSES, RESULTS AND LACK OF NEGLIGENCE.
* TI DISCLAIMS ANY WARRANTY OF TITLE, QUIET ENJOYMENT, QUIET
* POSSESSION, AND NON-INFRINGEMENT OF ANY THIRD PARTY
* INTELLECTUAL PROPERTY RIGHTS WITH REGARD TO THE PROGRAM OR
* YOUR USE OF THE PROGRAM.
*
* IN NO EVENT SHALL TI BE LIABLE FOR ANY SPECIAL, INCIDENTAL,
* CONSEQUENTIAL OR INDIRECT DAMAGES, HOWEVER CAUSED, ON ANY
* THEORY OF LIABILITY AND WHETHER OR NOT TI HAS BEEN ADVISED
* OF THE POSSIBILITY OF SUCH DAMAGES, ARISING IN ANY WAY OUT
* OF THIS AGREEMENT, THE PROGRAM, OR YOUR USE OF THE PROGRAM.
* EXCLUDED DAMAGES INCLUDE, BUT ARE NOT LIMITED TO, COST OF
* REMOVAL OR REINSTALLATION, COMPUTER TIME, LABOR COSTS, LOSS
* OF GOODWILL, LOSS OF PROFITS, LOSS OF SAVINGS, OR LOSS OF
* USE OR INTERRUPTION OF BUSINESS. IN NO EVENT WILL TI'S
* AGGREGATE LIABILITY UNDER THIS AGREEMENT OR ARISING OUT OF
* YOUR USE OF THE PROGRAM EXCEED FIVE HUNDRED DOLLARS
* (U.S.$500).
*
* Unless otherwise stated, the Program written and copyrighted
* by Texas Instruments is distributed as "freeware". You may,
* only under TI's copyright in the Program, use and modify the
* Program without any charge or restriction. You may
* distribute to third parties, provided that you transfer a
* copy of this license to the third party and the third party
* agrees to these terms by its first use of the Program. You
* must reproduce the copyright notice and any other legend of
* ownership on each copy or partial copy, of the Program.
*
* You acknowledge and agree that the Program contains
* copyrighted material, trade secrets and other TI proprietary
* information and is protected by copyright laws,
* international copyright treaties, and trade secret laws, as
* well as other intellectual property laws. To protect TI's
* rights in the Program, you agree not to decompile, reverse
* engineer, disassemble or otherwise translate any object code
* versions of the Program to a human-readable form. You agree
* that in no event will you alter, remove or destroy any
* copyright notice included in the Program. TI reserves all
* rights not specifically granted under this license. Except
* as specifically provided herein, nothing in this agreement
* shall be construed as conferring by implication, estoppel,
* or otherwise, upon you, any license or other right under any
* TI patents, copyrights or trade secrets.
*
* You may not use the Program in non-TI devices.
* ********************************************************* */
 
 
#ifndef _MMCLIB_C
#define _MMCLIB_C
//
//---------------------------------------------------------------
#include "mmc.h"
#include "hal_SPI.h"
#include "hal_hardware_board.h"
 
//#define withDMA
 
// Function Prototypes
char mmcGetResponse(void);
char mmcGetXXResponse(const char resp);
char mmcCheckBusy(void);
char mmcGoIdle();
 
// Initialize MMC card
char mmcInit(void)
{
//raise CS and MOSI for 80 clock cycles
//SendByte(0xff) 10 times with CS high
//RAISE CS
int i;
 
// Port x Function Dir On/Off
// mmcCS Out 0 - Active 1 - none Active
// Dout Out 0 - off 1 - On -> init in SPI_Init
// Din Inp 0 - off 1 - On -> init in SPI_Init
// Clk Out - -> init in SPI_Init
// mmcCD In 0 - card inserted
 
// // Init Port for MMC (default high)
// MMC_PxOUT |= MMC_SIMO + MMC_SOMI + MMC_UCLK;
// MMC_PxDIR |= MMC_SIMO + MMC_UCLK;
// MMC_PxDIR &= ~MMC_SOMI;
//
// // Chip Select
// MMC_CS_PxOUT |= MMC_CS;
// MMC_CS_PxDIR |= MMC_CS;
//
// // Card Detect
// MMC_CD_PxDIR &= ~MMC_CD;
 
// Enable secondary function
#if SPI_SER_INTF == SER_INTF_BITBANG
MMC_PxSEL |= MMC_SIMO + MMC_SOMI + MMC_UCLK;
#endif
 
// Ping the card to check if it exists
 
// Set the clock speed to something slow
halSPISetSpeedLow();
// Initialization sequence on powerup
MMC_CS_HIGH();
for(i=0;i<=9;i++)
spiSendByte(DUMMY_CHAR);
 
__delay_cycles(100);
 
return (mmcGoIdle());
}
 
 
// Set MMC in Idle mode
char mmcGoIdle()
{
char response=0x01;
MMC_CS_LOW();
 
// Send Command 0 to put MMC in SPI mode
mmcSendCmd(MMC_GO_IDLE_STATE,0,0x95);
 
// Now wait until idle state bit is cleared
if(mmcGetResponse()!=0x01)
return MMC_INIT_ERROR;
 
while(response==0x01)
{
MMC_CS_HIGH();
spiSendByte(DUMMY_CHAR);
MMC_CS_LOW();
mmcSendCmd(MMC_SEND_OP_COND,0x00,0xff);
response=mmcGetResponse();
}
 
MMC_CS_HIGH();
 
// Card is now initialized, increase the clock speed
halSPISetSpeedHigh();
 
spiSendByte(DUMMY_CHAR);
spiSendByte(DUMMY_CHAR);
 
return (MMC_SUCCESS);
}
 
// MMC Get Response
char mmcGetResponse(void)
{
//Response comes 1-8bytes after command
//the first bit will be a 0
//followed by an error code
//data will be 0xff until response
int i=0;
 
volatile unsigned char response;
 
while(i<=64)
{
response=spiSendByte(DUMMY_CHAR);
if(response==0x00)break;
if(response==0x01)break;
i++;
}
return response;
}
 
char mmcGetXXResponse(const char resp)
{
//Response comes 1-8bytes after command
//the first bit will be a 0
//followed by an error code
//data will be 0xff until response
int i=0;
 
char response;
 
while(i<=1000)
{
response=spiSendByte(DUMMY_CHAR);
if(response==resp)break;
i++;
}
return response;
}
 
// Check if MMC card is still busy
char mmcCheckBusy(void)
{
//Response comes 1-8bytes after command
//the first bit will be a 0
//followed by an error code
//data will be 0xff until response
int i=0;
 
char response;
char rvalue;
while(i<=64)
{
response=spiSendByte(DUMMY_CHAR);
response &= 0x1f;
switch(response)
{
case 0x05: rvalue=MMC_SUCCESS;break;
case 0x0b: return(MMC_CRC_ERROR);
case 0x0d: return(MMC_WRITE_ERROR);
default:
rvalue = MMC_OTHER_ERROR;
break;
}
if(rvalue==MMC_SUCCESS)break;
i++;
}
i=0;
do
{
response=spiSendByte(DUMMY_CHAR);
i++;
}while(response==0);
return response;
}
// The card will respond with a standard response token followed by a data
// block suffixed with a 16 bit CRC.
 
// read a size Byte big block beginning at the address.
char mmcReadBlock(const unsigned long address, const unsigned long count, unsigned char *pBuffer)
{
char rvalue = MMC_RESPONSE_ERROR;
 
// Set the block length to read
if (mmcSetBlockLength (count) == MMC_SUCCESS) // block length could be set
{
// CS = LOW (on)
MMC_CS_LOW ();
// send read command MMC_READ_SINGLE_BLOCK=CMD17
mmcSendCmd (MMC_READ_SINGLE_BLOCK,address, 0xFF);
// Send 8 Clock pulses of delay, check if the MMC acknowledged the read block command
// it will do this by sending an affirmative response
// in the R1 format (0x00 is no errors)
if (mmcGetResponse() == 0x00)
{
// now look for the data token to signify the start of
// the data
if (mmcGetXXResponse(MMC_START_DATA_BLOCK_TOKEN) == MMC_START_DATA_BLOCK_TOKEN)
{
// clock the actual data transfer and receive the bytes; spi_read automatically finds the Data Block
spiReadFrame(pBuffer, count);
// get CRC bytes (not really needed by us, but required by MMC)
spiSendByte(DUMMY_CHAR);
spiSendByte(DUMMY_CHAR);
rvalue = MMC_SUCCESS;
}
else
{
// the data token was never received
rvalue = MMC_DATA_TOKEN_ERROR; // 3
}
}
else
{
// the MMC never acknowledge the read command
rvalue = MMC_RESPONSE_ERROR; // 2
}
}
else
{
rvalue = MMC_BLOCK_SET_ERROR; // 1
}
MMC_CS_HIGH ();
spiSendByte(DUMMY_CHAR);
return rvalue;
}
 
char mmcWriteBlock (const unsigned long address, const unsigned long count, unsigned char *pBuffer)
{
char rvalue = MMC_RESPONSE_ERROR; // MMC_SUCCESS;
// char c = 0x00;
 
// Set the block length to read
if (mmcSetBlockLength (count) == MMC_SUCCESS) // block length could be set
{
// CS = LOW (on)
MMC_CS_LOW ();
// send write command
mmcSendCmd (MMC_WRITE_BLOCK,address, 0xFF);
 
// check if the MMC acknowledged the write block command
// it will do this by sending an affirmative response
// in the R1 format (0x00 is no errors)
if (mmcGetXXResponse(MMC_R1_RESPONSE) == MMC_R1_RESPONSE)
{
spiSendByte(DUMMY_CHAR);
// send the data token to signify the start of the data
spiSendByte(0xfe);
// clock the actual data transfer and transmitt the bytes
 
spiSendFrame(pBuffer, count);
 
// put CRC bytes (not really needed by us, but required by MMC)
spiSendByte(DUMMY_CHAR);
spiSendByte(DUMMY_CHAR);
// read the data response xxx0<status>1 : status 010: Data accected, status 101: Data
// rejected due to a crc error, status 110: Data rejected due to a Write error.
mmcCheckBusy();
rvalue = MMC_SUCCESS;
}
else
{
// the MMC never acknowledge the write command
rvalue = MMC_RESPONSE_ERROR; // 2
}
}
else
{
rvalue = MMC_BLOCK_SET_ERROR; // 1
}
// give the MMC the required clocks to finish up what ever it needs to do
// for (i = 0; i < 9; ++i)
// spiSendByte(0xff);
 
MMC_CS_HIGH ();
// Send 8 Clock pulses of delay.
spiSendByte(DUMMY_CHAR);
return rvalue;
}
 
 
// Send command to MMC
void mmcSendCmd (const char cmd, unsigned long data, const char crc)
{
unsigned char frame[6];
char temp;
int i;
frame[0]=(cmd|0x40);
for(i=3;i>=0;i--){
temp=(char)(data>>(8*i));
frame[4-i]=(temp);
}
frame[5]=(crc);
spiSendFrame(frame,6);
}
 
 
//--------------- set blocklength 2^n ------------------------------------------------------
char mmcSetBlockLength (const unsigned long blocklength)
{
// CS = LOW (on)
MMC_CS_LOW ();
// Set the block length to read
mmcSendCmd(MMC_SET_BLOCKLEN, blocklength, 0xFF);
 
// get response from MMC - make sure that its 0x00 (R1 ok response format)
if(mmcGetResponse()!=0x00)
{ mmcInit();
mmcSendCmd(MMC_SET_BLOCKLEN, blocklength, 0xFF);
mmcGetResponse();
}
 
MMC_CS_HIGH ();
 
// Send 8 Clock pulses of delay.
spiSendByte(DUMMY_CHAR);
 
return MMC_SUCCESS;
}
 
 
// Reading the contents of the CSD and CID registers in SPI mode is a simple read-block transaction.
char mmcReadRegister (const char cmd_register, const unsigned char length, unsigned char *pBuffer)
{
char uc = 0;
char rvalue = MMC_TIMEOUT_ERROR;
 
if (mmcSetBlockLength (length) == MMC_SUCCESS)
{
MMC_CS_LOW ();
// CRC not used: 0xff as last byte
mmcSendCmd(cmd_register, 0x000000, 0xff);
 
// wait for response
// in the R1 format (0x00 is no errors)
if (mmcGetResponse() == 0x00)
{
if (mmcGetXXResponse(0xfe)== 0xfe)
for (uc = 0; uc < length; uc++)
pBuffer[uc] = spiSendByte(DUMMY_CHAR); //mmc_buffer[uc] = spiSendByte(0xff);
// get CRC bytes (not really needed by us, but required by MMC)
spiSendByte(DUMMY_CHAR);
spiSendByte(DUMMY_CHAR);
rvalue = MMC_SUCCESS;
}
else
rvalue = MMC_RESPONSE_ERROR;
// CS = HIGH (off)
MMC_CS_HIGH ();
 
// Send 8 Clock pulses of delay.
spiSendByte(DUMMY_CHAR);
}
MMC_CS_HIGH ();
return rvalue;
}
 
 
#include "math.h"
unsigned long mmcReadCardSize(void)
{
// Read contents of Card Specific Data (CSD)
 
unsigned long MMC_CardSize;
unsigned short i, // index
j, // index
b, // temporary variable
response, // MMC response to command
mmc_C_SIZE;
 
unsigned char mmc_READ_BL_LEN, // Read block length
mmc_C_SIZE_MULT;
 
MMC_CS_LOW ();
 
spiSendByte(MMC_READ_CSD); // CMD 9
for(i=4; i>0; i--) // Send four dummy bytes
spiSendByte(0);
spiSendByte(DUMMY_CHAR); // Send CRC byte
 
response = mmcGetResponse();
 
// data transmission always starts with 0xFE
b = spiSendByte(DUMMY_CHAR);
 
if( !response )
{
while (b != 0xFE) b = spiSendByte(DUMMY_CHAR);
// bits 127:87
for(j=5; j>0; j--) // Host must keep the clock running for at
b = spiSendByte(DUMMY_CHAR);
 
// 4 bits of READ_BL_LEN
// bits 84:80
b =spiSendByte(DUMMY_CHAR); // lower 4 bits of CCC and
mmc_READ_BL_LEN = b & 0x0F;
b = spiSendByte(DUMMY_CHAR);
// bits 73:62 C_Size
// xxCC CCCC CCCC CC
mmc_C_SIZE = (b & 0x03) << 10;
b = spiSendByte(DUMMY_CHAR);
mmc_C_SIZE += b << 2;
b = spiSendByte(DUMMY_CHAR);
mmc_C_SIZE += b >> 6;
// bits 55:53
b = spiSendByte(DUMMY_CHAR);
// bits 49:47
mmc_C_SIZE_MULT = (b & 0x03) << 1;
b = spiSendByte(DUMMY_CHAR);
mmc_C_SIZE_MULT += b >> 7;
// bits 41:37
b = spiSendByte(DUMMY_CHAR);
b = spiSendByte(DUMMY_CHAR);
b = spiSendByte(DUMMY_CHAR);
b = spiSendByte(DUMMY_CHAR);
b = spiSendByte(DUMMY_CHAR);
}
 
for(j=4; j>0; j--) // Host must keep the clock running for at
b = spiSendByte(DUMMY_CHAR); // least Ncr (max = 4 bytes) cycles after
// the card response is received
b = spiSendByte(DUMMY_CHAR);
MMC_CS_LOW ();
 
MMC_CardSize = (mmc_C_SIZE + 1);
// power function with base 2 is better with a loop
// i = (pow(2,mmc_C_SIZE_MULT+2)+0.5);
for(i = 2,j=mmc_C_SIZE_MULT+2; j>1; j--)
i <<= 1;
MMC_CardSize *= i;
// power function with base 2 is better with a loop
//i = (pow(2,mmc_READ_BL_LEN)+0.5);
for(i = 2,j=mmc_READ_BL_LEN; j>1; j--)
i <<= 1;
MMC_CardSize *= i;
 
return (MMC_CardSize);
 
}
 
char mmcPing(void) {
if (!(MMC_CD_PxIN & MMC_CD))
return (MMC_SUCCESS);
else
return (MMC_INIT_ERROR);
}
 
#ifdef withDMA
#ifdef __IAR_SYSTEMS_ICC__
#pragma vector = DACDMA_VECTOR
__interrupt void DMA_isr(void)
#endif
 
#ifdef __TI_COMPILER_VERSION__
__interrupt void DMA_isr(void);
DMA_ISR(DMA_isr)
__interrupt void DMA_isr(void)
#endif
{
DMA0CTL &= ~(DMAIFG);
LPM3_EXIT;
}
#endif
 
 
//---------------------------------------------------------------------
#endif /* _MMCLIB_C */
/MSP430/MSP430_Audio_Cube/mmc.h
0,0 → 1,106
// *************************************************************************************
//
// Filename: mmc.h:
// Declarations for Communication with the MMC (see mmc.c) in unprotected SPI mode.
//
// Version 1.1
// added ul declaration in macros mmcWriteSector and mmcReadSector
// *************************************************************************************
 
#ifndef _MMCLIB_H
#define _MMCLIB_H
 
 
// macro defines
#define HIGH(a) ((a>>8)&0xFF) // high byte from word
#define LOW(a) (a&0xFF) // low byte from word
 
#define MMC_SECTOR_SIZE 512ul
 
// Tokens (necessary because at NPO/IDLE (and CS active) only 0xff is on the data/command line)
#define MMC_START_DATA_BLOCK_TOKEN 0xfe // Data token start byte, Start Single Block Read
#define MMC_START_DATA_MULTIPLE_BLOCK_READ 0xfe // Data token start byte, Start Multiple Block Read
#define MMC_START_DATA_BLOCK_WRITE 0xfe // Data token start byte, Start Single Block Write
#define MMC_START_DATA_MULTIPLE_BLOCK_WRITE 0xfc // Data token start byte, Start Multiple Block Write
#define MMC_STOP_DATA_MULTIPLE_BLOCK_WRITE 0xfd // Data toke stop byte, Stop Multiple Block Write
 
 
// an affirmative R1 response (no errors)
#define MMC_R1_RESPONSE 0x00
 
 
// this variable will be used to track the current block length
// this allows the block length to be set only when needed
// unsigned long _BlockLength = 0;
 
// error/success codes
#define MMC_SUCCESS 0x00
#define MMC_BLOCK_SET_ERROR 0x01
#define MMC_RESPONSE_ERROR 0x02
#define MMC_DATA_TOKEN_ERROR 0x03
#define MMC_INIT_ERROR 0x04
#define MMC_CRC_ERROR 0x10
#define MMC_WRITE_ERROR 0x11
#define MMC_OTHER_ERROR 0x12
#define MMC_TIMEOUT_ERROR 0xFF
 
 
// commands: first bit 0 (start bit), second 1 (transmission bit); CMD-number + 0ffsett 0x40
#define MMC_GO_IDLE_STATE 0x40 //CMD0
#define MMC_SEND_OP_COND 0x41 //CMD1
#define MMC_READ_CSD 0x49 //CMD9
#define MMC_SEND_CID 0x4a //CMD10
#define MMC_STOP_TRANSMISSION 0x4c //CMD12
#define MMC_SEND_STATUS 0x4d //CMD13
#define MMC_SET_BLOCKLEN 0x50 //CMD16 Set block length for next read/write
#define MMC_READ_SINGLE_BLOCK 0x51 //CMD17 Read block from memory
#define MMC_READ_MULTIPLE_BLOCK 0x52 //CMD18
#define MMC_CMD_WRITEBLOCK 0x54 //CMD20 Write block to memory
#define MMC_WRITE_BLOCK 0x58 //CMD24
#define MMC_WRITE_MULTIPLE_BLOCK 0x59 //CMD25
#define MMC_WRITE_CSD 0x5b //CMD27 PROGRAM_CSD
#define MMC_SET_WRITE_PROT 0x5c //CMD28
#define MMC_CLR_WRITE_PROT 0x5d //CMD29
#define MMC_SEND_WRITE_PROT 0x5e //CMD30
#define MMC_TAG_SECTOR_START 0x60 //CMD32
#define MMC_TAG_SECTOR_END 0x61 //CMD33
#define MMC_UNTAG_SECTOR 0x62 //CMD34
#define MMC_TAG_EREASE_GROUP_START 0x63 //CMD35
#define MMC_TAG_EREASE_GROUP_END 0x64 //CMD36
#define MMC_UNTAG_EREASE_GROUP 0x65 //CMD37
#define MMC_EREASE 0x66 //CMD38
#define MMC_READ_OCR 0x67 //CMD39
#define MMC_CRC_ON_OFF 0x68 //CMD40
 
 
// mmc init
char mmcInit(void);
 
// check if MMC card is present
char mmcPing(void);
 
// send command to MMC
void mmcSendCmd (const char cmd, unsigned long data, const char crc);
 
// set MMC in Idle mode
char mmcGoIdle();
 
// set MMC block length of count=2^n Byte
char mmcSetBlockLength (const unsigned long);
 
// read a size Byte big block beginning at the address.
char mmcReadBlock(const unsigned long address, const unsigned long count, unsigned char *pBuffer);
#define mmcReadSector(sector, pBuffer) mmcReadBlock(sector*MMC_SECTOR_SIZE, MMC_SECTOR_SIZE, pBuffer)
 
// write a size Byte big block beginning at the (aligned) address
char mmcWriteBlock (const unsigned long address, const unsigned long count, unsigned char *pBuffer);
#define mmcWriteSector(sector, pBuffer) mmcWriteBlock(sector*MMC_SECTOR_SIZE, MMC_SECTOR_SIZE, pBuffer)
 
// Read Register arg1 with Length arg2 (into the buffer)
char mmcReadRegister(const char, const unsigned char, unsigned char *pBuffer);
 
// Read the Card Size from the CSD Register
unsigned long mmcReadCardSize(void);
 
 
#endif /* _MMCLIB_H */
/MSP430/MSP430_Audio_Cube/pff.c
0,0 → 1,1114
/*----------------------------------------------------------------------------/
/ Petit FatFs - FAT file system module R0.02a (C)ChaN, 2010
/-----------------------------------------------------------------------------/
/ Petit FatFs module is an open source software to implement FAT file system to
/ small embedded systems. This is a free software and is opened for education,
/ research and commercial developments under license policy of following trems.
/
/ Copyright (C) 2010, ChaN, all right reserved.
/
/ * The Petit FatFs module is a free software and there is NO WARRANTY.
/ * No restriction on use. You can use, modify and redistribute it for
/ personal, non-profit or commercial use UNDER YOUR RESPONSIBILITY.
/ * Redistributions of source code must retain the above copyright notice.
/
/-----------------------------------------------------------------------------/
/ Jun 15,'09 R0.01a First release. (Branched from FatFs R0.07b.)
/
/ Dec 14,'09 R0.02 Added multiple code page support.
/ Added write funciton.
/ Changed stream read mode interface.
/ Dec 07,'10 R0.02a Added some configuration options.
/ Fixed fails to open objects with DBCS character.
/----------------------------------------------------------------------------*/
 
#include "pff.h" /* Petit FatFs configurations and declarations */
#include "diskio.h" /* Declarations of low level disk I/O functions */
 
 
 
/*--------------------------------------------------------------------------
 
Module Private Definitions
 
---------------------------------------------------------------------------*/
 
 
#if _FS_FAT32
#define LD_CLUST(dir) (((DWORD)LD_WORD(dir+DIR_FstClusHI)<<16) | LD_WORD(dir+DIR_FstClusLO))
#else
#define LD_CLUST(dir) LD_WORD(dir+DIR_FstClusLO)
#endif
 
 
/*--------------------------------------------------------*/
/* DBCS code ranges and SBCS extend char conversion table */
 
#if _CODE_PAGE == 932 /* Japanese Shift-JIS */
#define _DF1S 0x81 /* DBC 1st byte range 1 start */
#define _DF1E 0x9F /* DBC 1st byte range 1 end */
#define _DF2S 0xE0 /* DBC 1st byte range 2 start */
#define _DF2E 0xFC /* DBC 1st byte range 2 end */
#define _DS1S 0x40 /* DBC 2nd byte range 1 start */
#define _DS1E 0x7E /* DBC 2nd byte range 1 end */
#define _DS2S 0x80 /* DBC 2nd byte range 2 start */
#define _DS2E 0xFC /* DBC 2nd byte range 2 end */
 
#elif _CODE_PAGE == 936 /* Simplified Chinese GBK */
#define _DF1S 0x81
#define _DF1E 0xFE
#define _DS1S 0x40
#define _DS1E 0x7E
#define _DS2S 0x80
#define _DS2E 0xFE
 
#elif _CODE_PAGE == 949 /* Korean */
#define _DF1S 0x81
#define _DF1E 0xFE
#define _DS1S 0x41
#define _DS1E 0x5A
#define _DS2S 0x61
#define _DS2E 0x7A
#define _DS3S 0x81
#define _DS3E 0xFE
 
#elif _CODE_PAGE == 950 /* Traditional Chinese Big5 */
#define _DF1S 0x81
#define _DF1E 0xFE
#define _DS1S 0x40
#define _DS1E 0x7E
#define _DS2S 0xA1
#define _DS2E 0xFE
 
#elif _CODE_PAGE == 437 /* U.S. (OEM) */
#define _DF1S 0
#define _EXCVT {0x80,0x9A,0x90,0x41,0x8E,0x41,0x8F,0x80,0x45,0x45,0x45,0x49,0x49,0x49,0x8E,0x8F,0x90,0x92,0x92,0x4F,0x99,0x4F,0x55,0x55,0x59,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \
0x41,0x49,0x4F,0x55,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 720 /* Arabic (OEM) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x45,0x41,0x84,0x41,0x86,0x43,0x45,0x45,0x45,0x49,0x49,0x8D,0x8E,0x8F,0x90,0x92,0x92,0x93,0x94,0x95,0x49,0x49,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \
0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 737 /* Greek (OEM) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x92,0x92,0x93,0x94,0x95,0x96,0x97,0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87, \
0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0xAA,0x92,0x93,0x94,0x95,0x96,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0x97,0xEA,0xEB,0xEC,0xE4,0xED,0xEE,0xE7,0xE8,0xF1,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 775 /* Baltic (OEM) */
#define _DF1S 0
#define _EXCVT {0x80,0x9A,0x91,0xA0,0x8E,0x95,0x8F,0x80,0xAD,0xED,0x8A,0x8A,0xA1,0x8D,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0x95,0x96,0x97,0x97,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9F, \
0xA0,0xA1,0xE0,0xA3,0xA3,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xB5,0xB6,0xB7,0xB8,0xBD,0xBE,0xC6,0xC7,0xA5,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE3,0xE8,0xE8,0xEA,0xEA,0xEE,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 850 /* Multilingual Latin 1 (OEM) */
#define _DF1S 0
#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xB7,0x8F,0x80,0xD2,0xD3,0xD4,0xD8,0xD7,0xDE,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0xE3,0xEA,0xEB,0x59,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9F, \
0xB5,0xD6,0xE0,0xE9,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE7,0xE7,0xE9,0xEA,0xEB,0xED,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 852 /* Latin 2 (OEM) */
#define _DF1S 0
#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xDE,0x8F,0x80,0x9D,0xD3,0x8A,0x8A,0xD7,0x8D,0x8E,0x8F,0x90,0x91,0x91,0xE2,0x99,0x95,0x95,0x97,0x97,0x99,0x9A,0x9B,0x9B,0x9D,0x9E,0x9F, \
0xB5,0xD6,0xE0,0xE9,0xA4,0xA4,0xA6,0xA6,0xA8,0xA8,0xAA,0x8D,0xAC,0xB8,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBD,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC6,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD1,0xD1,0xD2,0xD3,0xD2,0xD5,0xD6,0xD7,0xB7,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xE0,0xE1,0xE2,0xE3,0xE3,0xD5,0xE6,0xE6,0xE8,0xE9,0xE8,0xEB,0xED,0xED,0xDD,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xEB,0xFC,0xFC,0xFE,0xFF}
 
#elif _CODE_PAGE == 855 /* Cyrillic (OEM) */
#define _DF1S 0
#define _EXCVT {0x81,0x81,0x83,0x83,0x85,0x85,0x87,0x87,0x89,0x89,0x8B,0x8B,0x8D,0x8D,0x8F,0x8F,0x91,0x91,0x93,0x93,0x95,0x95,0x97,0x97,0x99,0x99,0x9B,0x9B,0x9D,0x9D,0x9F,0x9F, \
0xA1,0xA1,0xA3,0xA3,0xA5,0xA5,0xA7,0xA7,0xA9,0xA9,0xAB,0xAB,0xAD,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB6,0xB6,0xB8,0xB8,0xB9,0xBA,0xBB,0xBC,0xBE,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD1,0xD1,0xD3,0xD3,0xD5,0xD5,0xD7,0xD7,0xDD,0xD9,0xDA,0xDB,0xDC,0xDD,0xE0,0xDF, \
0xE0,0xE2,0xE2,0xE4,0xE4,0xE6,0xE6,0xE8,0xE8,0xEA,0xEA,0xEC,0xEC,0xEE,0xEE,0xEF,0xF0,0xF2,0xF2,0xF4,0xF4,0xF6,0xF6,0xF8,0xF8,0xFA,0xFA,0xFC,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 857 /* Turkish (OEM) */
#define _DF1S 0
#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xB7,0x8F,0x80,0xD2,0xD3,0xD4,0xD8,0xD7,0x98,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0xE3,0xEA,0xEB,0x98,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9E, \
0xB5,0xD6,0xE0,0xE9,0xA5,0xA5,0xA6,0xA6,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xDE,0x59,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 858 /* Multilingual Latin 1 + Euro (OEM) */
#define _DF1S 0
#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xB7,0x8F,0x80,0xD2,0xD3,0xD4,0xD8,0xD7,0xDE,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0xE3,0xEA,0xEB,0x59,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9F, \
0xB5,0xD6,0xE0,0xE9,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD1,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE7,0xE7,0xE9,0xEA,0xEB,0xED,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 862 /* Hebrew (OEM) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \
0x41,0x49,0x4F,0x55,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 866 /* Russian (OEM) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \
0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0x90,0x91,0x92,0x93,0x9d,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F,0xF0,0xF0,0xF2,0xF2,0xF4,0xF4,0xF6,0xF6,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 874 /* Thai (OEM, Windows) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \
0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 1250 /* Central Europe (Windows) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x8A,0x9B,0x8C,0x8D,0x8E,0x8F, \
0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xA3,0xB4,0xB5,0xB6,0xB7,0xB8,0xA5,0xAA,0xBB,0xBC,0xBD,0xBC,0xAF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xFF}
 
#elif _CODE_PAGE == 1251 /* Cyrillic (Windows) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x82,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x80,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x8A,0x9B,0x8C,0x8D,0x8E,0x8F, \
0xA0,0xA2,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB2,0xA5,0xB5,0xB6,0xB7,0xA8,0xB9,0xAA,0xBB,0xA3,0xBD,0xBD,0xAF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF}
 
#elif _CODE_PAGE == 1252 /* Latin 1 (Windows) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0xAd,0x9B,0x8C,0x9D,0xAE,0x9F, \
0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0x9F}
 
#elif _CODE_PAGE == 1253 /* Greek (Windows) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \
0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xA2,0xB8,0xB9,0xBA, \
0xE0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xF2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xFB,0xBC,0xFD,0xBF,0xFF}
 
#elif _CODE_PAGE == 1254 /* Turkish (Windows) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x8A,0x9B,0x8C,0x9D,0x9E,0x9F, \
0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0x9F}
 
#elif _CODE_PAGE == 1255 /* Hebrew (Windows) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \
0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 1256 /* Arabic (Windows) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x8C,0x9D,0x9E,0x9F, \
0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0x41,0xE1,0x41,0xE3,0xE4,0xE5,0xE6,0x43,0x45,0x45,0x45,0x45,0xEC,0xED,0x49,0x49,0xF0,0xF1,0xF2,0xF3,0x4F,0xF5,0xF6,0xF7,0xF8,0x55,0xFA,0x55,0x55,0xFD,0xFE,0xFF}
 
#elif _CODE_PAGE == 1257 /* Baltic (Windows) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \
0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xA8,0xB9,0xAA,0xBB,0xBC,0xBD,0xBE,0xAF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xFF}
 
#elif _CODE_PAGE == 1258 /* Vietnam (OEM, Windows) */
#define _DF1S 0
#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0xAC,0x9D,0x9E,0x9F, \
0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \
0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xEC,0xCD,0xCE,0xCF,0xD0,0xD1,0xF2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xFE,0x9F}
 
#elif _CODE_PAGE == 1 /* ASCII (for only non-LFN cfg) */
#define _DF1S 0
 
#else
#error Unknown code page
 
#endif
 
 
 
/* Character code support macros */
 
#define IsUpper(c) (((c)>='A')&&((c)<='Z'))
#define IsLower(c) (((c)>='a')&&((c)<='z'))
 
#if _DF1S /* DBCS configuration */
 
#ifdef _DF2S /* Two 1st byte areas */
#define IsDBCS1(c) (((BYTE)(c) >= _DF1S && (BYTE)(c) <= _DF1E) || ((BYTE)(c) >= _DF2S && (BYTE)(c) <= _DF2E))
#else /* One 1st byte area */
#define IsDBCS1(c) ((BYTE)(c) >= _DF1S && (BYTE)(c) <= _DF1E)
#endif
 
#ifdef _DS3S /* Three 2nd byte areas */
#define IsDBCS2(c) (((BYTE)(c) >= _DS1S && (BYTE)(c) <= _DS1E) || ((BYTE)(c) >= _DS2S && (BYTE)(c) <= _DS2E) || ((BYTE)(c) >= _DS3S && (BYTE)(c) <= _DS3E))
#else /* Two 2nd byte areas */
#define IsDBCS2(c) (((BYTE)(c) >= _DS1S && (BYTE)(c) <= _DS1E) || ((BYTE)(c) >= _DS2S && (BYTE)(c) <= _DS2E))
#endif
 
#else /* SBCS configuration */
 
#define IsDBCS1(c) 0
#define IsDBCS2(c) 0
 
#endif /* _DF1S */
 
 
/* FatFs refers the members in the FAT structures with byte offset instead
/ of structure member because there are incompatibility of the packing option
/ between various compilers. */
 
#define BS_jmpBoot 0
#define BS_OEMName 3
#define BPB_BytsPerSec 11
#define BPB_SecPerClus 13
#define BPB_RsvdSecCnt 14
#define BPB_NumFATs 16
#define BPB_RootEntCnt 17
#define BPB_TotSec16 19
#define BPB_Media 21
#define BPB_FATSz16 22
#define BPB_SecPerTrk 24
#define BPB_NumHeads 26
#define BPB_HiddSec 28
#define BPB_TotSec32 32
#define BS_55AA 510
 
#define BS_DrvNum 36
#define BS_BootSig 38
#define BS_VolID 39
#define BS_VolLab 43
#define BS_FilSysType 54
 
#define BPB_FATSz32 36
#define BPB_ExtFlags 40
#define BPB_FSVer 42
#define BPB_RootClus 44
#define BPB_FSInfo 48
#define BPB_BkBootSec 50
#define BS_DrvNum32 64
#define BS_BootSig32 66
#define BS_VolID32 67
#define BS_VolLab32 71
#define BS_FilSysType32 82
 
#define MBR_Table 446
 
#define DIR_Name 0
#define DIR_Attr 11
#define DIR_NTres 12
#define DIR_CrtTime 14
#define DIR_CrtDate 16
#define DIR_FstClusHI 20
#define DIR_WrtTime 22
#define DIR_WrtDate 24
#define DIR_FstClusLO 26
#define DIR_FileSize 28
 
 
 
/*--------------------------------------------------------------------------
 
Private Functions
 
---------------------------------------------------------------------------*/
 
 
static
FATFS *FatFs; /* Pointer to the file system object (logical drive) */
 
 
/* Fill memory */
static
void mem_set (void* dst, int val, int cnt) {
char *d = (char*)dst;
while (cnt--) *d++ = (char)val;
}
 
/* Compare memory to memory */
static
int mem_cmp (const void* dst, const void* src, int cnt) {
const char *d = (const char *)dst, *s = (const char *)src;
int r = 0;
while (cnt-- && (r = *d++ - *s++) == 0) ;
return r;
}
 
 
 
/*-----------------------------------------------------------------------*/
/* FAT access - Read value of a FAT entry */
/*-----------------------------------------------------------------------*/
 
static
CLUST get_fat ( /* 1:IO error, Else:Cluster status */
CLUST clst /* Cluster# to get the link information */
)
{
WORD wc, bc, ofs;
BYTE buf[4];
FATFS *fs = FatFs;
 
 
if (clst < 2 || clst >= fs->n_fatent) /* Range check */
return 1;
 
switch (fs->fs_type) {
#if _FS_FAT12
case FS_FAT12 :
bc = (WORD)clst; bc += bc / 2;
ofs = bc % 512; bc /= 512;
if (ofs != 511) {
if (disk_readp(buf, fs->fatbase + bc, ofs, 2)) break;
} else {
if (disk_readp(buf, fs->fatbase + bc, 511, 1)) break;
if (disk_readp(buf+1, fs->fatbase + bc + 1, 0, 1)) break;
}
wc = LD_WORD(buf);
return (clst & 1) ? (wc >> 4) : (wc & 0xFFF);
#endif
case FS_FAT16 :
if (disk_readp(buf, fs->fatbase + clst / 256, (WORD)(((WORD)clst % 256) * 2), 2)) break;
return LD_WORD(buf);
#if _FS_FAT32
case FS_FAT32 :
if (disk_readp(buf, fs->fatbase + clst / 128, (WORD)(((WORD)clst % 128) * 4), 4)) break;
return LD_DWORD(buf) & 0x0FFFFFFF;
#endif
}
 
return 1; /* An error occured at the disk I/O layer */
}
 
 
 
 
/*-----------------------------------------------------------------------*/
/* Get sector# from cluster# */
/*-----------------------------------------------------------------------*/
 
static
DWORD clust2sect ( /* !=0: Sector number, 0: Failed - invalid cluster# */
CLUST clst /* Cluster# to be converted */
)
{
FATFS *fs = FatFs;
 
 
clst -= 2;
if (clst >= (fs->n_fatent - 2)) return 0; /* Invalid cluster# */
return (DWORD)clst * fs->csize + fs->database;
}
 
 
 
 
/*-----------------------------------------------------------------------*/
/* Directory handling - Rewind directory index */
/*-----------------------------------------------------------------------*/
 
static
FRESULT dir_rewind (
DIR *dj /* Pointer to directory object */
)
{
CLUST clst;
FATFS *fs = FatFs;
 
 
dj->index = 0;
clst = dj->sclust;
if (clst == 1 || clst >= fs->n_fatent) /* Check start cluster range */
return FR_DISK_ERR;
if (_FS_FAT32 && !clst && fs->fs_type == FS_FAT32) /* Replace cluster# 0 with root cluster# if in FAT32 */
clst = (CLUST)fs->dirbase;
dj->clust = clst; /* Current cluster */
dj->sect = clst ? clust2sect(clst) : fs->dirbase; /* Current sector */
 
return FR_OK; /* Seek succeeded */
}
 
 
 
 
/*-----------------------------------------------------------------------*/
/* Directory handling - Move directory index next */
/*-----------------------------------------------------------------------*/
 
static
FRESULT dir_next ( /* FR_OK:Succeeded, FR_NO_FILE:End of table */
DIR *dj /* Pointer to directory object */
)
{
CLUST clst;
WORD i;
FATFS *fs = FatFs;
 
 
i = dj->index + 1;
if (!i || !dj->sect) /* Report EOT when index has reached 65535 */
return FR_NO_FILE;
 
if (!(i % 16)) { /* Sector changed? */
dj->sect++; /* Next sector */
 
if (dj->clust == 0) { /* Static table */
if (i >= fs->n_rootdir) /* Report EOT when end of table */
return FR_NO_FILE;
}
else { /* Dynamic table */
if (((i / 16) & (fs->csize-1)) == 0) { /* Cluster changed? */
clst = get_fat(dj->clust); /* Get next cluster */
if (clst <= 1) return FR_DISK_ERR;
if (clst >= fs->n_fatent) /* When it reached end of dynamic table */
return FR_NO_FILE; /* Report EOT */
dj->clust = clst; /* Initialize data for new cluster */
dj->sect = clust2sect(clst);
}
}
}
 
dj->index = i;
 
return FR_OK;
}
 
 
 
 
/*-----------------------------------------------------------------------*/
/* Directory handling - Find an object in the directory */
/*-----------------------------------------------------------------------*/
 
static
FRESULT dir_find (
DIR *dj, /* Pointer to the directory object linked to the file name */
BYTE *dir /* 32-byte working buffer */
)
{
FRESULT res;
BYTE c;
 
 
res = dir_rewind(dj); /* Rewind directory object */
if (res != FR_OK) return res;
 
do {
res = disk_readp(dir, dj->sect, (WORD)((dj->index % 16) * 32), 32) /* Read an entry */
? FR_DISK_ERR : FR_OK;
if (res != FR_OK) break;
c = dir[DIR_Name]; /* First character */
if (c == 0) { res = FR_NO_FILE; break; } /* Reached to end of table */
if (!(dir[DIR_Attr] & AM_VOL) && !mem_cmp(dir, dj->fn, 11)) /* Is it a valid entry? */
break;
res = dir_next(dj); /* Next entry */
} while (res == FR_OK);
 
return res;
}
 
 
 
 
/*-----------------------------------------------------------------------*/
/* Read an object from the directory */
/*-----------------------------------------------------------------------*/
#if _USE_DIR
static
FRESULT dir_read (
DIR *dj, /* Pointer to the directory object to store read object name */
BYTE *dir /* 32-byte working buffer */
)
{
FRESULT res;
BYTE a, c;
 
 
res = FR_NO_FILE;
while (dj->sect) {
res = disk_readp(dir, dj->sect, (WORD)((dj->index % 16) * 32), 32) /* Read an entry */
? FR_DISK_ERR : FR_OK;
if (res != FR_OK) break;
c = dir[DIR_Name];
if (c == 0) { res = FR_NO_FILE; break; } /* Reached to end of table */
a = dir[DIR_Attr] & AM_MASK;
if (c != 0xE5 && c != '.' && !(a & AM_VOL)) /* Is it a valid entry? */
break;
res = dir_next(dj); /* Next entry */
if (res != FR_OK) break;
}
 
if (res != FR_OK) dj->sect = 0;
 
return res;
}
#endif
 
 
 
/*-----------------------------------------------------------------------*/
/* Pick a segment and create the object name in directory form */
/*-----------------------------------------------------------------------*/
 
#ifdef _EXCVT
static const BYTE cvt[] = _EXCVT;
#endif
 
static
FRESULT create_name (
DIR *dj, /* Pointer to the directory object */
const char **path /* Pointer to pointer to the segment in the path string */
)
{
BYTE c, d, ni, si, i, *sfn;
const char *p;
 
/* Create file name in directory form */
sfn = dj->fn;
mem_set(sfn, ' ', 11);
si = i = 0; ni = 8;
p = *path;
for (;;) {
c = p[si++];
if (c <= ' ' || c == '/') break; /* Break on end of segment */
if (c == '.' || i >= ni) {
if (ni != 8 || c != '.') break;
i = 8; ni = 11;
continue;
}
#ifdef _EXCVT
if (c >= 0x80) /* To upper extended char (SBCS) */
c = cvt[c - 0x80];
#endif
if (IsDBCS1(c) && i < ni - 1) { /* DBC 1st byte? */
d = p[si++]; /* Get 2nd byte */
sfn[i++] = c;
sfn[i++] = d;
} else { /* Single byte code */
if (IsLower(c)) c -= 0x20; /* toupper */
sfn[i++] = c;
}
}
*path = &p[si]; /* Rerurn pointer to the next segment */
 
sfn[11] = (c <= ' ') ? 1 : 0; /* Set last segment flag if end of path */
 
return FR_OK;
}
 
 
 
 
/*-----------------------------------------------------------------------*/
/* Get file information from directory entry */
/*-----------------------------------------------------------------------*/
#if _USE_DIR
static
void get_fileinfo ( /* No return code */
DIR *dj, /* Pointer to the directory object */
BYTE *dir, /* 32-byte working buffer */
FILINFO *fno /* Pointer to store the file information */
)
{
BYTE i, c;
char *p;
 
 
p = fno->fname;
if (dj->sect) {
for (i = 0; i < 8; i++) { /* Copy file name body */
c = dir[i];
if (c == ' ') break;
if (c == 0x05) c = 0xE5;
*p++ = c;
}
if (dir[8] != ' ') { /* Copy file name extension */
*p++ = '.';
for (i = 8; i < 11; i++) {
c = dir[i];
if (c == ' ') break;
*p++ = c;
}
}
fno->fattrib = dir[DIR_Attr]; /* Attribute */
fno->fsize = LD_DWORD(dir+DIR_FileSize); /* Size */
fno->fdate = LD_WORD(dir+DIR_WrtDate); /* Date */
fno->ftime = LD_WORD(dir+DIR_WrtTime); /* Time */
}
*p = 0;
}
#endif /* _USE_DIR */
 
 
 
/*-----------------------------------------------------------------------*/
/* Follow a file path */
/*-----------------------------------------------------------------------*/
 
static
FRESULT follow_path ( /* FR_OK(0): successful, !=0: error code */
DIR *dj, /* Directory object to return last directory and found object */
BYTE *dir, /* 32-byte working buffer */
const char *path /* Full-path string to find a file or directory */
)
{
FRESULT res;
 
 
while (*path == ' ') path++; /* Skip leading spaces */
if (*path == '/') path++; /* Strip heading separator */
dj->sclust = 0; /* Set start directory (always root dir) */
 
if ((BYTE)*path <= ' ') { /* Null path means the root directory */
res = dir_rewind(dj);
dir[0] = 0;
 
} else { /* Follow path */
for (;;) {
res = create_name(dj, &path); /* Get a segment */
if (res != FR_OK) break;
res = dir_find(dj, dir); /* Find it */
if (res != FR_OK) { /* Could not find the object */
if (res == FR_NO_FILE && !*(dj->fn+11))
res = FR_NO_PATH;
break;
}
if (*(dj->fn+11)) break; /* Last segment match. Function completed. */
if (!(dir[DIR_Attr] & AM_DIR)) { /* Cannot follow because it is a file */
res = FR_NO_PATH; break;
}
dj->sclust = LD_CLUST(dir);
}
}
 
return res;
}
 
 
 
 
/*-----------------------------------------------------------------------*/
/* Check a sector if it is an FAT boot record */
/*-----------------------------------------------------------------------*/
 
static
BYTE check_fs ( /* 0:The FAT boot record, 1:Valid boot record but not an FAT, 2:Not a boot record, 3:Error */
BYTE *buf, /* Working buffer */
DWORD sect /* Sector# (lba) to check if it is an FAT boot record or not */
)
{
if (disk_readp(buf, sect, 510, 2)) /* Read the boot sector */
return 3;
if (LD_WORD(buf) != 0xAA55) /* Check record signature */
return 2;
 
if (!disk_readp(buf, sect, BS_FilSysType, 2) && LD_WORD(buf) == 0x4146) /* Check FAT12/16 */
return 0;
if (_FS_FAT32 && !disk_readp(buf, sect, BS_FilSysType32, 2) && LD_WORD(buf) == 0x4146) /* Check FAT32 */
return 0;
return 1;
}
 
 
 
 
/*--------------------------------------------------------------------------
 
Public Functions
 
--------------------------------------------------------------------------*/
 
 
 
/*-----------------------------------------------------------------------*/
/* Mount/Unmount a Locical Drive */
/*-----------------------------------------------------------------------*/
 
FRESULT pf_mount (
FATFS *fs /* Pointer to new file system object (NULL: Unmount) */
)
{
BYTE fmt, buf[36];
DWORD bsect, fsize, tsect, mclst;
 
 
FatFs = 0;
if (!fs) return FR_OK; /* Unregister fs object */
 
if (disk_initialize() & STA_NOINIT) /* Check if the drive is ready or not */
return FR_NOT_READY;
 
/* Search FAT partition on the drive */
bsect = 0;
fmt = check_fs(buf, bsect); /* Check sector 0 as an SFD format */
if (fmt == 1) { /* Not an FAT boot record, it may be FDISK format */
/* Check a partition listed in top of the partition table */
if (disk_readp(buf, bsect, MBR_Table, 16)) { /* 1st partition entry */
fmt = 3;
} else {
if (buf[4]) { /* Is the partition existing? */
bsect = LD_DWORD(&buf[8]); /* Partition offset in LBA */
fmt = check_fs(buf, bsect); /* Check the partition */
}
}
}
if (fmt == 3) return FR_DISK_ERR;
if (fmt) return FR_NO_FILESYSTEM; /* No valid FAT patition is found */
 
/* Initialize the file system object */
if (disk_readp(buf, bsect, 13, sizeof(buf))) return FR_DISK_ERR;
 
fsize = LD_WORD(buf+BPB_FATSz16-13); /* Number of sectors per FAT */
if (!fsize) fsize = LD_DWORD(buf+BPB_FATSz32-13);
 
fsize *= buf[BPB_NumFATs-13]; /* Number of sectors in FAT area */
fs->fatbase = bsect + LD_WORD(buf+BPB_RsvdSecCnt-13); /* FAT start sector (lba) */
fs->csize = buf[BPB_SecPerClus-13]; /* Number of sectors per cluster */
fs->n_rootdir = LD_WORD(buf+BPB_RootEntCnt-13); /* Nmuber of root directory entries */
tsect = LD_WORD(buf+BPB_TotSec16-13); /* Number of sectors on the file system */
if (!tsect) tsect = LD_DWORD(buf+BPB_TotSec32-13);
mclst = (tsect /* Last cluster# + 1 */
- LD_WORD(buf+BPB_RsvdSecCnt-13) - fsize - fs->n_rootdir / 16
) / fs->csize + 2;
fs->n_fatent = (CLUST)mclst;
 
fmt = FS_FAT16; /* Determine the FAT sub type */
if (mclst < 0xFF7) /* Number of clusters < 0xFF5 */
#if _FS_FAT12
fmt = FS_FAT12;
#else
return FR_NO_FILESYSTEM;
#endif
if (mclst >= 0xFFF7) /* Number of clusters >= 0xFFF5 */
#if _FS_FAT32
fmt = FS_FAT32;
#else
return FR_NO_FILESYSTEM;
#endif
 
fs->fs_type = fmt; /* FAT sub-type */
if (_FS_FAT32 && fmt == FS_FAT32)
fs->dirbase = LD_DWORD(buf+(BPB_RootClus-13)); /* Root directory start cluster */
else
fs->dirbase = fs->fatbase + fsize; /* Root directory start sector (lba) */
fs->database = fs->fatbase + fsize + fs->n_rootdir / 16; /* Data start sector (lba) */
 
fs->flag = 0;
FatFs = fs;
 
return FR_OK;
}
 
 
 
 
/*-----------------------------------------------------------------------*/
/* Open or Create a File */
/*-----------------------------------------------------------------------*/
 
FRESULT pf_open (
const char *path /* Pointer to the file name */
)
{
FRESULT res;
DIR dj;
BYTE sp[12], dir[32];
FATFS *fs = FatFs;
 
 
if (!fs) /* Check file system */
return FR_NOT_ENABLED;
 
fs->flag = 0;
dj.fn = sp;
res = follow_path(&dj, dir, path); /* Follow the file path */
if (res != FR_OK) return res; /* Follow failed */
if (!dir[0] || (dir[DIR_Attr] & AM_DIR)) /* It is a directory */
return FR_NO_FILE;
 
fs->org_clust = LD_CLUST(dir); /* File start cluster */
fs->fsize = LD_DWORD(dir+DIR_FileSize); /* File size */
fs->fptr = 0; /* File pointer */
fs->flag = FA_OPENED;
 
return FR_OK;
}
 
 
 
 
/*-----------------------------------------------------------------------*/
/* Read File */
/*-----------------------------------------------------------------------*/
#if _USE_READ
 
FRESULT pf_read (
void* buff, /* Pointer to the read buffer (NULL:Forward data to the stream)*/
WORD btr, /* Number of bytes to read */
WORD* br /* Pointer to number of bytes read */
)
{
DRESULT dr;
CLUST clst;
DWORD sect, remain;
WORD rcnt;
BYTE cs, *rbuff = buff;
FATFS *fs = FatFs;
 
 
*br = 0;
if (!fs) return FR_NOT_ENABLED; /* Check file system */
if (!(fs->flag & FA_OPENED)) /* Check if opened */
return FR_NOT_OPENED;
 
remain = fs->fsize - fs->fptr;
if (btr > remain) btr = (WORD)remain; /* Truncate btr by remaining bytes */
 
while (btr) { /* Repeat until all data transferred */
if ((fs->fptr % 512) == 0) { /* On the sector boundary? */
cs = (BYTE)(fs->fptr / 512 & (fs->csize - 1)); /* Sector offset in the cluster */
if (!cs) { /* On the cluster boundary? */
clst = (fs->fptr == 0) ? /* On the top of the file? */
fs->org_clust : get_fat(fs->curr_clust);
if (clst <= 1) goto fr_abort;
fs->curr_clust = clst; /* Update current cluster */
}
sect = clust2sect(fs->curr_clust); /* Get current sector */
if (!sect) goto fr_abort;
fs->dsect = sect + cs;
}
rcnt = (WORD)(512 - (fs->fptr % 512)); /* Get partial sector data from sector buffer */
if (rcnt > btr) rcnt = btr;
dr = disk_readp(!buff ? 0 : rbuff, fs->dsect, (WORD)(fs->fptr % 512), rcnt);
if (dr) goto fr_abort;
fs->fptr += rcnt; rbuff += rcnt; /* Update pointers and counters */
btr -= rcnt; *br += rcnt;
}
 
return FR_OK;
 
fr_abort:
fs->flag = 0;
return FR_DISK_ERR;
}
#endif
 
 
 
/*-----------------------------------------------------------------------*/
/* Write File */
/*-----------------------------------------------------------------------*/
#if _USE_WRITE
 
FRESULT pf_write (
const void* buff, /* Pointer to the data to be written */
WORD btw, /* Number of bytes to write (0:Finalize the current write operation) */
WORD* bw /* Pointer to number of bytes written */
)
{
CLUST clst;
DWORD sect, remain;
const BYTE *p = buff;
BYTE cs;
WORD wcnt;
FATFS *fs = FatFs;
 
 
*bw = 0;
if (!fs) return FR_NOT_ENABLED; /* Check file system */
if (!(fs->flag & FA_OPENED)) /* Check if opened */
return FR_NOT_OPENED;
 
if (!btw) { /* Finalize request */
if ((fs->flag & FA__WIP) && disk_writep(0, 0)) goto fw_abort;
fs->flag &= ~FA__WIP;
return FR_OK;
} else { /* Write data request */
if (!(fs->flag & FA__WIP)) /* Round-down fptr to the sector boundary */
fs->fptr &= 0xFFFFFE00;
}
remain = fs->fsize - fs->fptr;
if (btw > remain) btw = (WORD)remain; /* Truncate btw by remaining bytes */
 
while (btw) { /* Repeat until all data transferred */
if (((WORD)fs->fptr % 512) == 0) { /* On the sector boundary? */
cs = (BYTE)(fs->fptr / 512 & (fs->csize - 1)); /* Sector offset in the cluster */
if (!cs) { /* On the cluster boundary? */
clst = (fs->fptr == 0) ? /* On the top of the file? */
fs->org_clust : get_fat(fs->curr_clust);
if (clst <= 1) goto fw_abort;
fs->curr_clust = clst; /* Update current cluster */
}
sect = clust2sect(fs->curr_clust); /* Get current sector */
if (!sect) goto fw_abort;
fs->dsect = sect + cs;
if (disk_writep(0, fs->dsect)) goto fw_abort; /* Initiate a sector write operation */
fs->flag |= FA__WIP;
}
wcnt = 512 - ((WORD)fs->fptr % 512); /* Number of bytes to write to the sector */
if (wcnt > btw) wcnt = btw;
if (disk_writep(p, wcnt)) goto fw_abort; /* Send data to the sector */
fs->fptr += wcnt; p += wcnt; /* Update pointers and counters */
btw -= wcnt; *bw += wcnt;
if (((WORD)fs->fptr % 512) == 0) {
if (disk_writep(0, 0)) goto fw_abort; /* Finalize the currtent secter write operation */
fs->flag &= ~FA__WIP;
}
}
 
return FR_OK;
 
fw_abort:
fs->flag = 0;
return FR_DISK_ERR;
}
#endif
 
 
 
/*-----------------------------------------------------------------------*/
/* Seek File R/W Pointer */
/*-----------------------------------------------------------------------*/
#if _USE_LSEEK
 
FRESULT pf_lseek (
DWORD ofs /* File pointer from top of file */
)
{
CLUST clst;
DWORD bcs, sect, ifptr;
FATFS *fs = FatFs;
 
 
if (!fs) return FR_NOT_ENABLED; /* Check file system */
if (!(fs->flag & FA_OPENED)) /* Check if opened */
return FR_NOT_OPENED;
 
if (ofs > fs->fsize) ofs = fs->fsize; /* Clip offset with the file size */
ifptr = fs->fptr;
fs->fptr = 0;
if (ofs > 0) {
bcs = (DWORD)fs->csize * 512; /* Cluster size (byte) */
if (ifptr > 0 &&
(ofs - 1) / bcs >= (ifptr - 1) / bcs) { /* When seek to same or following cluster, */
fs->fptr = (ifptr - 1) & ~(bcs - 1); /* start from the current cluster */
ofs -= fs->fptr;
clst = fs->curr_clust;
} else { /* When seek to back cluster, */
clst = fs->org_clust; /* start from the first cluster */
fs->curr_clust = clst;
}
while (ofs > bcs) { /* Cluster following loop */
clst = get_fat(clst); /* Follow cluster chain */
if (clst <= 1 || clst >= fs->n_fatent) goto fe_abort;
fs->curr_clust = clst;
fs->fptr += bcs;
ofs -= bcs;
}
fs->fptr += ofs;
sect = clust2sect(clst); /* Current sector */
if (!sect) goto fe_abort;
fs->dsect = sect + (fs->fptr / 512 & (fs->csize - 1));
}
 
return FR_OK;
 
fe_abort:
fs->flag = 0;
return FR_DISK_ERR;
}
#endif
 
 
 
/*-----------------------------------------------------------------------*/
/* Create a Directroy Object */
/*-----------------------------------------------------------------------*/
#if _USE_DIR
 
FRESULT pf_opendir (
DIR *dj, /* Pointer to directory object to create */
const char *path /* Pointer to the directory path */
)
{
FRESULT res;
BYTE sp[12], dir[32];
FATFS *fs = FatFs;
 
 
if (!fs) { /* Check file system */
res = FR_NOT_ENABLED;
} else {
dj->fn = sp;
res = follow_path(dj, dir, path); /* Follow the path to the directory */
if (res == FR_OK) { /* Follow completed */
if (dir[0]) { /* It is not the root dir */
if (dir[DIR_Attr] & AM_DIR) /* The object is a directory */
dj->sclust = LD_CLUST(dir);
else /* The object is not a directory */
res = FR_NO_PATH;
}
if (res == FR_OK)
res = dir_rewind(dj); /* Rewind dir */
}
if (res == FR_NO_FILE) res = FR_NO_PATH;
}
 
return res;
}
 
 
 
 
/*-----------------------------------------------------------------------*/
/* Read Directory Entry in Sequense */
/*-----------------------------------------------------------------------*/
 
FRESULT pf_readdir (
DIR *dj, /* Pointer to the open directory object */
FILINFO *fno /* Pointer to file information to return */
)
{
FRESULT res;
BYTE sp[12], dir[32];
FATFS *fs = FatFs;
 
 
if (!fs) { /* Check file system */
res = FR_NOT_ENABLED;
} else {
dj->fn = sp;
if (!fno) {
res = dir_rewind(dj);
} else {
res = dir_read(dj, dir);
if (res == FR_NO_FILE) {
dj->sect = 0;
res = FR_OK;
}
if (res == FR_OK) { /* A valid entry is found */
get_fileinfo(dj, dir, fno); /* Get the object information */
res = dir_next(dj); /* Increment index for next */
if (res == FR_NO_FILE) {
dj->sect = 0;
res = FR_OK;
}
}
}
}
 
return res;
}
 
#endif /* _USE_DIR */
 
/MSP430/MSP430_Audio_Cube/pff.h
0,0 → 1,192
/*---------------------------------------------------------------------------/
/ Petit FatFs - FAT file system module include file R0.02a (C)ChaN, 2010
/----------------------------------------------------------------------------/
/ Petit FatFs module is an open source software to implement FAT file system to
/ small embedded systems. This is a free software and is opened for education,
/ research and commercial developments under license policy of following trems.
/
/ Copyright (C) 2010, ChaN, all right reserved.
/
/ * The Petit FatFs module is a free software and there is NO WARRANTY.
/ * No restriction on use. You can use, modify and redistribute it for
/ personal, non-profit or commercial use UNDER YOUR RESPONSIBILITY.
/ * Redistributions of source code must retain the above copyright notice.
/
/----------------------------------------------------------------------------*/
 
#include "integer.h"
 
/*---------------------------------------------------------------------------/
/ Petit FatFs Configuration Options
/
/ CAUTION! Do not forget to make clean the project after any changes to
/ the configuration options.
/
/----------------------------------------------------------------------------*/
#ifndef _FATFS
#define _FATFS
 
#define _USE_READ 1 /* 1:Enable pf_read() */
 
#define _USE_DIR 1 /* 1:Enable pf_opendir() and pf_readdir() */
 
#define _USE_LSEEK 0 /* 1:Enable pf_lseek() */
 
#define _USE_WRITE 0 /* 1:Enable pf_write() */
 
#define _FS_FAT12 1 /* 1:Enable FAT12 support */
#define _FS_FAT32 0 /* 1:Enable FAT32 support */
 
 
#define _CODE_PAGE 1
/* Defines which code page is used for path name. Supported code pages are:
/ 932, 936, 949, 950, 437, 720, 737, 775, 850, 852, 855, 857, 858, 862, 866,
/ 874, 1250, 1251, 1252, 1253, 1254, 1255, 1257, 1258 and 1 (ASCII only).
/ SBCS code pages except for 1 requiers a case conversion table. This
/ might occupy 128 bytes on the RAM on some platforms, e.g. avr-gcc. */
 
 
#define _WORD_ACCESS 0
/* The _WORD_ACCESS option defines which access method is used to the word
/ data in the FAT structure.
/
/ 0: Byte-by-byte access. Always compatible with all platforms.
/ 1: Word access. Do not choose this unless following condition is met.
/
/ When the byte order on the memory is big-endian or address miss-aligned
/ word access results incorrect behavior, the _WORD_ACCESS must be set to 0.
/ If it is not the case, the value can also be set to 1 to improve the
/ performance and code efficiency. */
 
 
/* End of configuration options. Do not change followings without care. */
/*--------------------------------------------------------------------------*/
 
 
 
#if _FS_FAT32
#define CLUST DWORD
#else
#define CLUST WORD
#endif
 
 
/* File system object structure */
 
typedef struct {
BYTE fs_type; /* FAT sub type */
BYTE flag; /* File status flags */
BYTE csize; /* Number of sectors per cluster */
BYTE pad1;
WORD n_rootdir; /* Number of root directory entries (0 on FAT32) */
CLUST n_fatent; /* Number of FAT entries (= number of clusters + 2) */
DWORD fatbase; /* FAT start sector */
DWORD dirbase; /* Root directory start sector (Cluster# on FAT32) */
DWORD database; /* Data start sector */
DWORD fptr; /* File R/W pointer */
DWORD fsize; /* File size */
CLUST org_clust; /* File start cluster */
CLUST curr_clust; /* File current cluster */
DWORD dsect; /* File current data sector */
} FATFS;
 
 
 
/* Directory object structure */
 
typedef struct {
WORD index; /* Current read/write index number */
BYTE* fn; /* Pointer to the SFN (in/out) {file[8],ext[3],status[1]} */
CLUST sclust; /* Table start cluster (0:Static table) */
CLUST clust; /* Current cluster */
DWORD sect; /* Current sector */
} DIR;
 
 
 
/* File status structure */
 
typedef struct {
DWORD fsize; /* File size */
WORD fdate; /* Last modified date */
WORD ftime; /* Last modified time */
BYTE fattrib; /* Attribute */
char fname[13]; /* File name */
} FILINFO;
 
 
 
/* File function return code (FRESULT) */
 
typedef enum {
FR_OK = 0, /* 0 */
FR_DISK_ERR, /* 1 */
FR_NOT_READY, /* 2 */
FR_NO_FILE, /* 3 */
FR_NO_PATH, /* 4 */
FR_NOT_OPENED, /* 5 */
FR_NOT_ENABLED, /* 6 */
FR_NO_FILESYSTEM /* 7 */
} FRESULT;
 
 
 
/*--------------------------------------------------------------*/
/* Petit FatFs module application interface */
 
FRESULT pf_mount (FATFS*); /* Mount/Unmount a logical drive */
FRESULT pf_open (const char*); /* Open a file */
FRESULT pf_read (void*, WORD, WORD*); /* Read data from the open file */
FRESULT pf_write (const void*, WORD, WORD*); /* Write data to the open file */
FRESULT pf_lseek (DWORD); /* Move file pointer of the open file */
FRESULT pf_opendir (DIR*, const char*); /* Open a directory */
FRESULT pf_readdir (DIR*, FILINFO*); /* Read a directory item from the open directory */
 
 
 
/*--------------------------------------------------------------*/
/* Flags and offset address */
 
/* File status flag (FATFS.flag) */
 
#define FA_OPENED 0x01
#define FA_WPRT 0x02
#define FA__WIP 0x40
 
 
/* FAT sub type (FATFS.fs_type) */
 
#define FS_FAT12 1
#define FS_FAT16 2
#define FS_FAT32 3
 
 
/* File attribute bits for directory entry */
 
#define AM_RDO 0x01 /* Read only */
#define AM_HID 0x02 /* Hidden */
#define AM_SYS 0x04 /* System */
#define AM_VOL 0x08 /* Volume label */
#define AM_LFN 0x0F /* LFN entry */
#define AM_DIR 0x10 /* Directory */
#define AM_ARC 0x20 /* Archive */
#define AM_MASK 0x3F /* Mask of defined bits */
 
 
/*--------------------------------*/
/* Multi-byte word access macros */
 
#if _WORD_ACCESS == 1 /* Enable word access to the FAT structure */
#define LD_WORD(ptr) (WORD)(*(WORD*)(BYTE*)(ptr))
#define LD_DWORD(ptr) (DWORD)(*(DWORD*)(BYTE*)(ptr))
#define ST_WORD(ptr,val) *(WORD*)(BYTE*)(ptr)=(WORD)(val)
#define ST_DWORD(ptr,val) *(DWORD*)(BYTE*)(ptr)=(DWORD)(val)
#else /* Use byte-by-byte access to the FAT structure */
#define LD_WORD(ptr) (WORD)(((WORD)*((BYTE*)(ptr)+1)<<8)|(WORD)*(BYTE*)(ptr))
#define LD_DWORD(ptr) (DWORD)(((DWORD)*((BYTE*)(ptr)+3)<<24)|((DWORD)*((BYTE*)(ptr)+2)<<16)|((WORD)*((BYTE*)(ptr)+1)<<8)|*(BYTE*)(ptr))
#define ST_WORD(ptr,val) *(BYTE*)(ptr)=(BYTE)(val); *((BYTE*)(ptr)+1)=(BYTE)((WORD)(val)>>8)
#define ST_DWORD(ptr,val) *(BYTE*)(ptr)=(BYTE)(val); *((BYTE*)(ptr)+1)=(BYTE)((WORD)(val)>>8); *((BYTE*)(ptr)+2)=(BYTE)((DWORD)(val)>>16); *((BYTE*)(ptr)+3)=(BYTE)((DWORD)(val)>>24)
#endif
 
 
#endif /* _FATFS */
/MSP430/MSP430_Audio_Cube/player.h
0,0 → 1,21
/*
 
VLSI Solution generic microcontroller example player / recorder definitions.
v1.00.
 
See VS10xx AppNote: Playback and Recording for details.
 
v1.00 2012-11-23 HH First release
 
*/
#ifndef PLAYER_RECORDER_H
#define PLAYER_RECORDER_H
 
#include "vs10xx_uc.h"
 
int VS1053Init(void);
int VSInitHardware(void);
int VSInitSoftware(void);
void VS1053PlayFile(const char *fileName);
 
#endif
/MSP430/MSP430_Audio_Cube/targetConfigs/MSP430G2231.ccxml
0,0 → 1,12
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<configurations XML_version="1.2" id="configurations_0">
<configuration XML_version="1.2" id="configuration_0">
<instance XML_version="1.2" desc="TI MSP430 USB1" href="connections/TIMSP430-USB.xml" id="TI MSP430 USB1" xml="TIMSP430-USB.xml" xmlpath="connections"/>
<connection XML_version="1.2" id="TI MSP430 USB1">
<instance XML_version="1.2" href="drivers/msp430_emu.xml" id="drivers" xml="msp430_emu.xml" xmlpath="drivers"/>
<platform XML_version="1.2" id="platform_0">
<instance XML_version="1.2" desc="MSP430G2231" href="devices/MSP430G2231.xml" id="MSP430G2231" xml="MSP430G2231.xml" xmlpath="devices"/>
</platform>
</connection>
</configuration>
</configurations>
/MSP430/MSP430_Audio_Cube/targetConfigs/MSP430G2452.ccxml
0,0 → 1,12
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<configurations XML_version="1.2" id="configurations_0">
<configuration XML_version="1.2" id="configuration_0">
<instance XML_version="1.2" desc="TI MSP430 USB1" href="connections/TIMSP430-USB.xml" id="TI MSP430 USB1" xml="TIMSP430-USB.xml" xmlpath="connections"/>
<connection XML_version="1.2" id="TI MSP430 USB1">
<instance XML_version="1.2" href="drivers/msp430_emu.xml" id="drivers" xml="msp430_emu.xml" xmlpath="drivers"/>
<platform XML_version="1.2" id="platform_0">
<instance XML_version="1.2" desc="MSP430G2452" href="devices/MSP430G2452.xml" id="MSP430G2452" xml="MSP430G2452.xml" xmlpath="devices"/>
</platform>
</connection>
</configuration>
</configurations>
/MSP430/MSP430_Audio_Cube/targetConfigs/MSP430G2453.ccxml
0,0 → 1,12
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<configurations XML_version="1.2" id="configurations_0">
<configuration XML_version="1.2" id="configuration_0">
<instance XML_version="1.2" desc="TI MSP430 USB1" href="connections/TIMSP430-USB.xml" id="TI MSP430 USB1" xml="TIMSP430-USB.xml" xmlpath="connections"/>
<connection XML_version="1.2" id="TI MSP430 USB1">
<instance XML_version="1.2" href="drivers/msp430_emu.xml" id="drivers" xml="msp430_emu.xml" xmlpath="drivers"/>
<platform XML_version="1.2" id="platform_0">
<instance XML_version="1.2" desc="MSP430G2453" href="devices/MSP430G2453.xml" id="MSP430G2453" xml="MSP430G2453.xml" xmlpath="devices"/>
</platform>
</connection>
</configuration>
</configurations>
/MSP430/MSP430_Audio_Cube/targetConfigs/MSP430G2553.ccxml
0,0 → 1,12
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<configurations XML_version="1.2" id="configurations_0">
<configuration XML_version="1.2" id="configuration_0">
<instance XML_version="1.2" desc="TI MSP430 USB1" href="connections/TIMSP430-USB.xml" id="TI MSP430 USB1" xml="TIMSP430-USB.xml" xmlpath="connections"/>
<connection XML_version="1.2" id="TI MSP430 USB1">
<instance XML_version="1.2" href="drivers/msp430_emu.xml" id="drivers" xml="msp430_emu.xml" xmlpath="drivers"/>
<platform XML_version="1.2" id="platform_0">
<instance XML_version="1.2" desc="MSP430G2553" href="devices/MSP430G2553.xml" id="MSP430G2553" xml="MSP430G2553.xml" xmlpath="devices"/>
</platform>
</connection>
</configuration>
</configurations>
/MSP430/MSP430_Audio_Cube/targetConfigs/readme.txt
0,0 → 1,9
The 'targetConfigs' folder contains target-configuration (.ccxml) files, automatically generated based
on the device and connection settings specified in your project on the Properties > General page.
 
Please note that in automatic target-configuration management, changes to the project's device and/or
connection settings will either modify an existing or generate a new target-configuration file. Thus,
if you manually edit these auto-generated files, you may need to re-apply your changes. Alternatively,
you may create your own target-configuration file for this project and manage it manually. You can
always switch back to automatic target-configuration management by checking the "Manage the project's
target-configuration automatically" checkbox on the project's Properties > General page.
/MSP430/MSP430_Audio_Cube/vs1053.c
0,0 → 1,524
/*
 
VLSI Solution generic microcontroller example player / recorder for
VS1053.
 
v1.03 2012-12-11 HH Recording command 'p' was VS1063 only -> removed
Added chip type recognition
v1.02 2012-12-04 HH Command '_' incorrectly printed VS1063-specific fields
v1.01 2012-11-28 HH Untabified
v1.00 2012-11-27 HH First release
 
*/
 
#include "player.h"
#include "hal_SPI.h"
#include "hal_hardware_board.h"
#include "pff.h"
 
/* Download the latest VS1053a Patches package and its
vs1053b-patches-flac.plg. If you want to use the smaller patch set
which doesn't contain the FLAC decoder, use vs1053b-patches.plg instead.
The patches package is available at
http://www.vlsi.fi/en/support/software/vs10xxpatches.html */
//#include "vs1053b-patches.plg"
// Extracted plugin contents:
#define PLUGIN_SIZE 40
const unsigned short plugin[40] = { /* Compressed plugin */
0x0007, 0x0001, 0x8010, 0x0006, 0x001c, 0x3e12, 0xb817, 0x3e14, /* 0 */
0xf812, 0x3e01, 0xb811, 0x0007, 0x9717, 0x0020, 0xffd2, 0x0030, /* 8 */
0x11d1, 0x3111, 0x8024, 0x3704, 0xc024, 0x3b81, 0x8024, 0x3101, /* 10 */
0x8024, 0x3b81, 0x8024, 0x3f04, 0xc024, 0x2808, 0x4800, 0x36f1, /* 18 */
0x9811, 0x0007, 0x0001, 0x8028, 0x0006, 0x0002, 0x2a00, 0x040e,
};
 
 
/* We also want to have the VS1053b Ogg Vorbis Encoder plugin. To get more
than one plugin included, we'll have to include it in a slightly more
tricky way. To get the plugin included below, download the latest version
of the VS1053 Ogg Vorbis Encoder Application from
http://www.vlsi.fi/en/support/software/vs10xxapplications.html */
// #define SKIP_PLUGIN_VARNAME
// const unsigned int encoderPlugin[] = {
// #include "venc44k2q05.plg"
// };
// #undef SKIP_PLUGIN_VARNAME
 
 
/* VS1053b IMA ADPCM Encoder Fix, available at
http://www.vlsi.fi/en/support/software/vs10xxpatches.html */
// #define SKIP_PLUGIN_VARNAME
// const unsigned int imaFix[] = {
// #include "imafix.plg"
// };
// #undef SKIP_PLUGIN_VARNAME
 
 
#define FILE_BUFFER_SIZE 224 // Minimum 32!
#define SDI_MAX_TRANSFER_SIZE 32
#define SDI_END_FILL_BYTES_FLAC 12288
#define SDI_END_FILL_BYTES 2052
//#define REC_BUFFER_SIZE 256
 
#define REPORT_INTERVAL 4096
#define REPORT_INTERVAL_MIDI 512
 
#define min(a,b) (((a)<(b))?(a):(b))
 
enum AudioFormat {
afUnknown,
afRiff,
afOggVorbis,
afMp1,
afMp2,
afMp3,
afAacMp4,
afAacAdts,
afAacAdif,
afFlac,
afWma,
afMidi
} audioFormat = afUnknown;
 
const char *afName[] = {
"unknown",
"RIFF",
"Ogg",
"MP1",
"MP2",
"MP3",
"AAC MP4",
"AAC ADTS",
"AAC ADIF",
"FLAC",
"WMA",
"MIDI",
};
 
/*
* SCI Write
*/
void WriteSci(unsigned char addr, unsigned int data) {
unsigned char c;
do { // Wait until DREQ is high
c = VS10XX_DREQ_STAT();
} while (c == 0);
VS10XX_CS_LOW();
spiSendByte(0x02); // Write command code
spiSendByte(addr); // Write SCI register
spiSendByte((unsigned char)(data >> 8));
spiSendByte((unsigned char)(data & 0xFF));
VS10XX_CS_HIGH();
}
 
/*
* SCI Read
*/
unsigned int ReadSci(unsigned char addr) {
unsigned char buffer[2];
int ret;
 
unsigned char c;
do { // Wait until DREQ is high
c = VS10XX_DREQ_STAT();
} while (c == 0);
VS10XX_CS_LOW();
spiSendByte(0x03); // Write command code
spiSendByte(addr); // Write SCI register
spiReadFrame(buffer, 2);
ret = buffer[0] << 8;
ret |= buffer[1];
VS10XX_CS_HIGH();
 
return ret;
}
 
/*
* SDI Write
*/
int WriteSdi(unsigned char *data, unsigned char bytes) {
if (bytes > SDI_MAX_TRANSFER_SIZE)
return -1;
 
unsigned char c;
do { // Wait until DREQ is high
c = VS10XX_DREQ_STAT();
} while (c == 0);
VS10XX_DS_LOW();
spiSendFrame(data, (unsigned int)bytes);
VS10XX_DS_HIGH();
 
return 0;
}
 
/*
Read 32-bit increasing counter value from addr.
Because the 32-bit value can change while reading it,
read MSB's twice and decide which is the correct one.
*/
unsigned long ReadVS10xxMem32Counter(unsigned int addr) {
unsigned int msbV1, lsb, msbV2;
unsigned long res;
 
WriteSci(SCI_WRAMADDR, addr+1);
msbV1 = ReadSci(SCI_WRAM);
WriteSci(SCI_WRAMADDR, addr);
lsb = ReadSci(SCI_WRAM);
msbV2 = ReadSci(SCI_WRAM);
if (lsb < 0x8000U) {
msbV1 = msbV2;
}
res = ((unsigned long)msbV1 << 16) | lsb;
return res;
}
 
/*
Read 32-bit non-changing value from addr.
*/
unsigned long ReadVS10xxMem32(unsigned int addr) {
unsigned int lsb;
WriteSci(SCI_WRAMADDR, addr);
lsb = ReadSci(SCI_WRAM);
return lsb | ((unsigned long)ReadSci(SCI_WRAM) << 16);
}
 
/*
Read 16-bit value from addr.
*/
unsigned int ReadVS10xxMem(unsigned int addr) {
WriteSci(SCI_WRAMADDR, addr);
return ReadSci(SCI_WRAM);
}
 
/*
Write 16-bit value to given VS10xx address
*/
void WriteVS10xxMem(unsigned int addr, unsigned int data) {
WriteSci(SCI_WRAMADDR, addr);
WriteSci(SCI_WRAM, data);
}
 
/*
Write 32-bit value to given VS10xx address
*/
void WriteVS10xxMem32(unsigned int addr, unsigned long data) {
WriteSci(SCI_WRAMADDR, addr);
WriteSci(SCI_WRAM, (unsigned int)data);
WriteSci(SCI_WRAM, (unsigned int)(data>>16));
}
 
/* Note: code SS_VER=2 is used for both VS1002 and VS1011e */
const unsigned int chipNumber[16] = {
1001, 1011, 1011, 1003, 1053, 1033, 1063, 1103,
0, 0, 0, 0, 0, 0, 0, 0
};
 
void Set32(unsigned char *d, unsigned long n) {
unsigned int i;
for (i=0; i<4; i++) {
*d++ = (unsigned char)n;
n >>= 8;
}
}
 
void Set16(unsigned char *d, unsigned int n) {
unsigned int i;
for (i=0; i<2; i++) {
*d++ = (unsigned char)n;
n >>= 8;
}
}
 
/*
Loads a plugin.
 
This is a slight modification of the LoadUserCode() example
provided in many of VLSI Solution's program packages.
*/
void LoadPlugin(const unsigned short *d, unsigned short len) {
unsigned int i = 0;
 
while (i<len) {
unsigned short addr, n, val;
addr = d[i++];
n = d[i++];
if (n & 0x8000U) { /* RLE run, replicate n samples */
n &= 0x7FFF;
val = d[i++];
while (n--) {
WriteSci(addr, val);
}
} else { /* Copy run, copy n samples */
while (n--) {
val = d[i++];
WriteSci(addr, val);
}
}
}
}
 
enum PlayerStates {
psPlayback = 0,
psStopped
} playerState;
 
/*
* Executes a software reset
*/
void VS1053SoftwareReset(void) {
unsigned char c;
unsigned short oldMode = ReadSci(SCI_MODE);
WriteSci(SCI_MODE, oldMode | SM_RESET);
__delay_cycles(100);
do { // Wait until DREQ is high
c = VS10XX_DREQ_STAT();
} while (c == 0);
}
 
/*
This function plays back an audio file.
 
File playback:
1. Send an audio file to VS1053b
2. Read extra parameter value endFillByte
3. Send at least 2052 bytes of endFillByte
4. Set SCI_MODE bit SM_CANCEL
5. Send at least 32 bytes of endFillByte
6. Read SCI_MODE. If SM_CANCEL is set, goto step 5
If still set after 2048 bytes, do a software reset
 
Cancelling playback:
1. Send a portion of an audio file to VS1053b
2. Set SCI_MODE bit SM_CANCEL
3. Continue to send audio file, check SM_CANCEL every 32 bytes
If set, goto step 3
If still set after 2048 bytes, do a software reset
4. Read extra parameter value endFillByte
5. Send 2052 bytes of endFillByte
*/
void VS1053PlayFile(const char *fileName) {
unsigned char playBuf[FILE_BUFFER_SIZE];
unsigned short bytesRead; // Number of bytes read by pf_read()
unsigned short bytesInBuffer; // How many valid bytes in buffer left
unsigned short pos = 0; // File position
int endFillByte = 0; // What byte value to send after file
int playMode = ReadVS10xxMem(PAR_PLAY_MODE);
long nextReportPos = 0; // File pointer where to next collect/report
FRESULT res;
unsigned int i;
 
playerState = psPlayback; // Set state to normal playback
 
WriteSci(SCI_DECODE_TIME, 0); // Reset DECODE_TIME
 
res = pf_open(fileName);
if (res != FR_OK)
return;
 
/* Main playback loop */
 
// Read the entire file FILE_BUFFER_SIZE (32) bytes at a time
do {
unsigned char *bufP = playBuf;
 
// Read FILE_BUFFER_SIZE (32) bytes from the file into the buffer
res = pf_read(playBuf, FILE_BUFFER_SIZE, &bytesRead);
if (res != FR_OK)
break;
bytesInBuffer = bytesRead;
 
while (bytesInBuffer && playerState != psStopped) {
 
if (!(playMode & PAR_PLAY_MODE_PAUSE_ENA)) {
int bytesToWrite = min(SDI_MAX_TRANSFER_SIZE, bytesInBuffer);
 
// This is the heart of the algorithm: on the following line
// actual audio data gets sent to VS10xx.
WriteSdi(bufP, bytesToWrite);
 
bufP += bytesToWrite;
bytesInBuffer -= bytesToWrite;
pos += bytesToWrite;
}
 
/* If playback is going on as normal, see if we need to collect and
possibly report */
if (playerState == psPlayback && pos >= nextReportPos) {
 
nextReportPos += (audioFormat == afMidi || audioFormat == afUnknown) ?
REPORT_INTERVAL_MIDI : REPORT_INTERVAL;
/* It is important to collect endFillByte while still in normal
playback. If we need to later cancel playback or run into any
trouble with e.g. a broken file, we need to be able to repeatedly
send this byte until the decoder has been able to exit. */
endFillByte = ReadVS10xxMem(PAR_END_FILL_BYTE);
}
}
} while(bytesRead == FILE_BUFFER_SIZE && playerState != psStopped);
 
/* Earlier we collected endFillByte. Now, just in case the file was
broken, or if a cancel playback command has been given, write
SDI_END_FILL_BYTES (2052) bytes of endFillByte. */
for (i = 0; i < FILE_BUFFER_SIZE; i++) {
playBuf[i] = endFillByte;
}
for (i = 0; i < SDI_END_FILL_BYTES; i += SDI_MAX_TRANSFER_SIZE) {
WriteSdi(playBuf, SDI_MAX_TRANSFER_SIZE);
}
 
/* If the file actually ended, and playback cancellation was not
done earlier, do it now. */
if (playerState == psPlayback) {
pos = SDI_MAX_TRANSFER_SIZE;
unsigned short oldMode = ReadSci(SCI_MODE);
WriteSci(SCI_MODE, oldMode | SM_CANCEL);
// Write 32 bytes of endFillByte
WriteSdi(playBuf, SDI_MAX_TRANSFER_SIZE);
i = ReadSci(SCI_MODE);
while (i & SM_CANCEL) {
// Reset after sending 2048 bytes
if (pos > 2048) {
VS1053SoftwareReset();
break;
}
WriteSdi(playBuf, SDI_MAX_TRANSFER_SIZE);
pos += SDI_MAX_TRANSFER_SIZE;
i = ReadSci(SCI_MODE);
}
}
}
 
/*
* Main initialization function
*/
int VS1053Init(void) {
if (VSInitHardware() != 0)
return 1;
if (VSInitSoftware() != 0)
return 1;
return 0;
}
 
/*
Hardware Initialization for VS1053.
*/
int VSInitHardware(void) {
/* Write here your microcontroller code which puts VS10xx in hardware
reset and back (set xRESET to 0 for at least a few clock cycles,
then to 1). */
 
// // Chip Command Select (idle high)
// VS10XX_CS_PxOUT |= VS10XX_CS;
// VS10XX_CS_PxDIR |= VS10XX_CS;
//
// // Chip Data Select (idle high)
// VS10XX_DC_PxOUT |= VS10XX_DC;
// VS10XX_DC_PxDIR |= VS10XX_DC;
//
// // Reset (idle high)
// VS10XX_RESET_PxOUT |= VS10XX_RESET;
// VS10XX_RESET_PxDIR |= VS10XX_RESET;
//
// // DREQ Line
// VS10XX_DREQ_PxDIR &= ~VS10XX_DREQ;
 
CHIP_RESET_LOW();
__delay_cycles(10000);
CHIP_RESET_HIGH();
 
unsigned char c;
do { // Wait until DREQ is high
c = VS10XX_DREQ_STAT();
} while (c == 0);
 
return 0;
}
 
/*
Software Initialization for VS1053.
 
Note that you need to check whether SM_SDISHARE should be set in
your application or not.
*/
int VSInitSoftware(void) {
unsigned int ssVer;
 
unsigned char c;
do { // Wait until DREQ is high
c = VS10XX_DREQ_STAT();
} while (c == 0);
 
/* Initial SPI bus speed needs to be < 1.5Mhz */
halSPISetSpeedLow();
 
/* Start initialization with a dummy read, which makes sure our
microcontroller chips selects and everything are where they
are supposed to be and that VS10xx's SCI bus is in a known state. */
ReadSci(SCI_MODE);
 
/* First real operation is a software reset. After the software
reset we know what the status of the IC is. You need, depending
on your application, either set or not set SM_SDISHARE. See the
datasheet for details. */
// WriteSci(SCI_MODE, SM_SDINEW|SM_SDISHARE|SM_TESTS|SM_RESET);
WriteSci(SCI_MODE, SM_SDINEW|SM_RESET);
 
/* A quick sanity check: write to two registers, then test if we
get the same results. Note that if you use a too high SPI
speed, the MSB is the most likely to fail when read again. */
WriteSci(SCI_HDAT0, 0xABAD);
WriteSci(SCI_HDAT1, 0x1DEA);
if (ReadSci(SCI_HDAT0) != 0xABAD || ReadSci(SCI_HDAT1) != 0x1DEA) {
// There is something wrong with VS10xx
return 1;
}
 
/* Set the clock. Until this point we need to run SPI slow so that
we do not exceed the maximum speeds mentioned in
Chapter SPI Timing Diagram in the datasheet. */
WriteSci(SCI_CLOCKF, HZ_TO_SC_FREQ(12288000) | SC_MULT_53_35X | SC_ADD_53_10X);
// WriteSci(SCI_CLOCKF, 0x6000);
 
__delay_cycles(10000);
 
/* Now when we have upped the VS10xx clock speed, the microcontroller
SPI bus can run faster. Do that before you start playing or
recording files. */
halSPISetSpeedHigh();
 
/* A quick sanity check: write to two registers, then test if we
get the same results. Note that if you use a too high SPI
speed, the MSB is the most likely to fail when read again. */
WriteSci(SCI_HDAT0, 0xABAD);
WriteSci(SCI_HDAT1, 0x1DEA);
if (ReadSci(SCI_HDAT0) != 0xABAD || ReadSci(SCI_HDAT1) != 0x1DEA) {
// There is something wrong with VS10xx
return 1;
}
 
/* Check VS10xx type */
ssVer = ((ReadSci(SCI_STATUS) >> 4) & 15);
if (chipNumber[ssVer]) {
// Chip is VS%d\n", chipNumber[ssVer]);
if (chipNumber[ssVer] != 1053) {
// Incorrect chip
return 1;
}
} else {
// Unknown VS10xx SCI_MODE field
return 1;
}
 
/* Set up other parameters. */
// WriteVS10xxMem(PAR_CONFIG1, PAR_CONFIG1_AAC_SBR_SELECTIVE_UPSAMPLE);
 
/* Set volume level to max */
WriteSci(SCI_VOL, 0x0000);
 
/* Now it's time to load the proper patch set. */
LoadPlugin(plugin, sizeof(plugin)/sizeof(plugin[0]));
 
/* We're ready to go. */
return 0;
}
/MSP430/MSP430_Audio_Cube/vs1053b-patches.plg
0,0 → 1,449
/* User application code loading tables for VS10xx */
#if 0
void LoadUserCode(void) {
int i = 0;
 
while (i<sizeof(plugin)/sizeof(plugin[0])) {
unsigned short addr, n, val;
addr = plugin[i++];
n = plugin[i++];
if (n & 0x8000U) { /* RLE run, replicate n samples */
n &= 0x7FFF;
val = plugin[i++];
while (n--) {
WriteVS10xxRegister(addr, val);
}
} else { /* Copy run, copy n samples */
while (n--) {
val = plugin[i++];
WriteVS10xxRegister(addr, val);
}
}
}
}
#endif
 
#ifndef SKIP_PLUGIN_VARNAME
const unsigned short plugin[] = { /* Compressed plugin */
0x0007,0x0001, /*copy 1*/
0x8300,
0x0006,0x062c, /*copy 1580*/
0xb080,0x1402,0x0fdf,0xffc1,0x0007,0x9257,0xb212,0x3c00,
0x3d00,0x4024,0x0030,0x0297,0x3f00,0x0024,0x0000,0x0401,
0x000a,0x1055,0x0006,0x0017,0x3f10,0x3401,0x000a,0x2795,
0x3f00,0x3401,0x0001,0x70d7,0xf400,0x55c0,0x0000,0x0817,
0xf400,0x57c0,0xc090,0x0024,0x0006,0x0297,0x3f00,0x0024,
0x0000,0x0000,0x0007,0x81d7,0x3f10,0x0024,0x3f10,0x0024,
0x0006,0x01d7,0x3f00,0x0024,0x0000,0x190d,0x000f,0xf94f,
0x0000,0xca0e,0x280f,0xe100,0x0006,0x2016,0x0000,0x0080,
0x0005,0x4f92,0x3009,0x2800,0x2909,0xf840,0x3613,0x0024,
0x0006,0x0197,0x0006,0xa115,0xb080,0x0024,0x3f00,0x3400,
0x0007,0x8a57,0x3700,0x0024,0x4080,0x0024,0x0000,0x0040,
0x2800,0xcbd5,0x0006,0xa2d7,0x3009,0x3c00,0x0006,0xa157,
0x3009,0x1c00,0x0006,0x01d7,0x0000,0x190d,0x000a,0x708f,
0x0000,0xd4ce,0x290b,0x1a80,0x3f00,0x184c,0x0030,0x0017,
0x4080,0x1c01,0x0000,0x0200,0x2800,0xc7d5,0xb102,0x0024,
0x0000,0xca08,0x2800,0xc7d5,0x0000,0xd0ce,0x0011,0x210f,
0x0000,0x190d,0x280f,0xcb00,0x3613,0x0024,0x0006,0xa115,
0x0006,0x01d7,0x37f0,0x1401,0x6100,0x1c01,0x4012,0x0024,
0x0000,0x8000,0x6010,0x0024,0x34f3,0x0400,0x2800,0xd398,
0x0000,0x0024,0x0000,0x8001,0x6010,0x3c01,0x0000,0x000d,
0x2811,0x8259,0x0000,0x0024,0x2a11,0x2100,0x0030,0x0257,
0x3700,0x0024,0x4080,0x0024,0x0000,0x0024,0x2800,0xd6d5,
0x0006,0x0197,0x0006,0xa115,0x3f00,0x3400,0x003f,0xc000,
0xb600,0x41c1,0x0012,0x5103,0x000c,0xc002,0xdcd6,0x0024,
0x0000,0x0024,0x2800,0xd955,0x0000,0x0024,0x2800,0x8a40,
0x0001,0x1208,0x0019,0xd4c2,0x0013,0xd9c3,0x6fd6,0x0024,
0x0000,0x190d,0x2800,0xdd95,0x0014,0x1b01,0x0020,0x480f,
0x0000,0xdc4e,0x2920,0x41c0,0x0000,0x190d,0x2801,0x1200,
0x0000,0x0024,0x0039,0x324f,0x0001,0x408e,0x2820,0x4a18,
0xb882,0x0024,0x2a20,0x48c0,0x003f,0xfd00,0xb700,0x0024,
0x003f,0xf901,0x6010,0x0024,0x0014,0x1b01,0x280a,0xc505,
0x0000,0x190d,0x0015,0x59c0,0x6fc2,0x0024,0x0000,0x0024,
0x2800,0xe815,0x0000,0x0024,0x290c,0x4840,0x3613,0x0024,
0x290c,0x4840,0x4086,0x184c,0x0000,0x18c2,0x6234,0x0024,
0x0000,0x1d02,0x2800,0xe395,0x6234,0x0024,0x0030,0x0317,
0x2800,0xe800,0x3f00,0x0024,0x0000,0x1d82,0x2800,0xe655,
0x6234,0x0024,0x2912,0x0d00,0x4084,0x184c,0xf200,0x0024,
0x6200,0x0024,0x0006,0x0017,0xb080,0x3c40,0x2800,0xe800,
0x3f00,0x0024,0x0000,0x0202,0x2800,0xe815,0xa024,0x0024,
0xc020,0x0024,0x0030,0x02d7,0x2800,0xe800,0x3f00,0x0024,
0x000a,0x8c8f,0x0000,0xe94e,0x000c,0x0981,0x280a,0x71c0,
0x002c,0x9d40,0x000a,0x708f,0x0000,0xd4ce,0x280a,0xc0d5,
0x0012,0x5182,0x6fd6,0x0024,0x003f,0xfd81,0x280a,0x8e45,
0xb710,0x0024,0xb710,0x0024,0x003f,0xfc01,0x6012,0x0024,
0x0000,0x0101,0x2801,0x08d5,0xffd2,0x0024,0x48b2,0x0024,
0x4190,0x0024,0x0000,0x190d,0x2801,0x08d5,0x0000,0x0024,
0x0030,0x0250,0xb880,0x104c,0x3cf0,0x0024,0x0010,0x5500,
0xb880,0x23c0,0xb882,0x2000,0x0007,0x8590,0x2914,0xbec0,
0x0000,0x0440,0x0007,0x8b50,0xb880,0x0024,0x2920,0x0100,
0x3800,0x0024,0x2920,0x0000,0x0006,0x8a91,0x0000,0x0800,
0xb882,0xa440,0x3009,0x27c1,0x003f,0xfd81,0xb710,0x0024,
0x003f,0xfc01,0x6012,0x0024,0x0000,0x0101,0x2801,0x1215,
0x0000,0x0024,0x4f86,0x0024,0xffe2,0x0024,0x48b2,0x0024,
0x4190,0x0024,0x0000,0x0024,0x2801,0x1215,0x0000,0x0024,
0x2912,0x2d80,0x0000,0x0780,0x4080,0x0024,0x0006,0x8a90,
0x2801,0x1215,0x0000,0x01c2,0xb886,0x8040,0x3613,0x03c1,
0xbcd2,0x0024,0x0030,0x0011,0x2800,0xfbd5,0x0000,0x0024,
0x003f,0xff42,0xb886,0x8040,0x3009,0x03c1,0x0000,0x0020,
0xac22,0x0024,0x0000,0x0102,0x6cd2,0x0024,0x3e10,0x0024,
0x2909,0x8c80,0x3e00,0x4024,0x36f3,0x0024,0x3e11,0x8024,
0x0000,0x0201,0x2901,0x3740,0x3e01,0xc024,0x36e3,0x104c,
0xf400,0x4512,0x2900,0x0c80,0x34f3,0x0024,0x3100,0x0024,
0xb010,0x0024,0x0000,0x0401,0x2801,0x1215,0x0000,0x0024,
0x291a,0x8a40,0x0000,0x0100,0x2920,0x0200,0x3613,0x0024,
0x2920,0x0280,0x3613,0x0024,0x408e,0x184c,0xb68c,0xb840,
0x4f82,0x0024,0x2920,0x0280,0x0000,0x0401,0xb182,0x9bcc,
0xcfce,0x0024,0x003f,0xfd81,0xb710,0x0024,0x003f,0xfc01,
0x6012,0x0024,0x0000,0x0101,0x2801,0x1215,0x0000,0x0024,
0x4f86,0x0024,0xffe2,0x0024,0x48b2,0x0024,0x4190,0x0024,
0x0000,0x0024,0x2801,0x1215,0x0000,0x0024,0x2912,0x2d80,
0x0000,0x0780,0x4080,0x0024,0x0000,0x01c2,0x2800,0xf785,
0x0006,0x8a90,0x2801,0x1200,0x0000,0x0024,0x0000,0x190d,
0x000a,0x708f,0x280a,0xc0c0,0x0000,0xd4ce,0x2920,0x0100,
0x0000,0x0401,0x0000,0x0180,0x2920,0x0200,0x3613,0x0024,
0x2920,0x0280,0x3613,0x0024,0x0000,0x0401,0x2920,0x0280,
0x4084,0x984c,0x0019,0x9d01,0x6212,0x0024,0x001e,0x5c01,
0x2801,0x0d55,0x6012,0x0024,0x0000,0x0024,0x2801,0x0f45,
0x0000,0x0024,0x001b,0x5bc1,0x6212,0x0024,0x001b,0xdd81,
0x2801,0x1315,0x6012,0x0024,0x0000,0x0024,0x2801,0x1315,
0x0000,0x0024,0x0000,0x004d,0x000a,0xbf4f,0x280a,0xb880,
0x0001,0x104e,0x0020,0xfb4f,0x0000,0x190d,0x0001,0x180e,
0x2920,0x0480,0x3009,0x2bc1,0x291a,0x8a40,0x36e3,0x0024,
0x0000,0x190d,0x000a,0x708f,0x280a,0xcac0,0x0000,0xd4ce,
0x0030,0x0017,0x3700,0x4024,0x0000,0x0200,0xb102,0x0024,
0x0000,0x0024,0x2801,0x1705,0x0000,0x0024,0x0000,0x00c0,
0x0005,0x4f92,0x3009,0x2800,0x2909,0xf840,0x3613,0x0024,
0x0006,0x0197,0x0006,0xa115,0xb080,0x0024,0x3f00,0x3400,
0x0000,0x190d,0x000a,0x708f,0x280a,0xc0c0,0x0000,0xd4ce,
0x0000,0x004d,0x0020,0xfe0f,0x2820,0xfb40,0x0001,0x190e,
0x2801,0x1b15,0x0000,0x0024,0x3009,0x13c0,0x6012,0x0024,
0x0000,0x0024,0x2801,0x3645,0x0000,0x0024,0x3413,0x0024,
0x34b0,0x0024,0x4080,0x0024,0x0000,0x0200,0x2801,0x1e15,
0xb882,0x0024,0x3453,0x0024,0x3009,0x13c0,0x4080,0x0024,
0x0000,0x0200,0x2801,0x3645,0x0000,0x0024,0xb882,0x130c,
0x0000,0x004d,0x0021,0x058f,0x2821,0x0340,0x0001,0x1f0e,
0x2801,0x2f95,0x6012,0x0024,0x0000,0x0024,0x2801,0x2f95,
0x0000,0x0024,0x34c3,0x184c,0x3e13,0xb80f,0xf400,0x4500,
0x0026,0x9dcf,0x0001,0x230e,0x0000,0xfa0d,0x2926,0x8e80,
0x3e10,0x110c,0x36f3,0x0024,0x2801,0x2f80,0x36f3,0x980f,
0x001c,0xdd00,0x001c,0xd901,0x6ec2,0x0024,0x001c,0xdd00,
0x2801,0x2615,0x0018,0xdbc1,0x3413,0x184c,0xf400,0x4500,
0x2926,0xc640,0x3e00,0x13cc,0x2801,0x2d00,0x36f3,0x0024,
0x6ec2,0x0024,0x003f,0xc000,0x2801,0x2895,0x002a,0x4001,
0x3413,0x184c,0xf400,0x4500,0x2926,0xafc0,0x3e00,0x13cc,
0x2801,0x2d00,0x36f3,0x0024,0xb400,0x0024,0xd100,0x0024,
0x0000,0x0024,0x2801,0x2d05,0x0000,0x0024,0x3613,0x0024,
0x3e11,0x4024,0x2926,0x8540,0x3e01,0x0024,0x4080,0x1b8c,
0x0000,0x0024,0x2801,0x2d05,0x0000,0x0024,0x3413,0x184c,
0xf400,0x4500,0x2926,0x8e80,0x3e10,0x13cc,0x36f3,0x0024,
0x3110,0x8024,0x31f0,0xc024,0x0000,0x4000,0x0000,0x0021,
0x6d06,0x0024,0x3110,0x8024,0x2826,0xa8c4,0x31f0,0xc024,
0x2826,0xad00,0x0000,0x0024,0x34c3,0x184c,0x3410,0x8024,
0x34f0,0xc024,0x0000,0x4000,0x0000,0x0021,0x6d06,0x0024,
0x3410,0x8024,0x2801,0x3654,0x3430,0xc024,0x4d86,0x0024,
0x0000,0x0200,0x2922,0x1885,0x0001,0x34c8,0x0000,0x0200,
0x3e10,0x8024,0x2921,0xca80,0x3e00,0xc024,0x291a,0x8a40,
0x0000,0x0024,0x2922,0x1880,0x36f3,0x0024,0x0000,0x004d,
0x0021,0x0ecf,0x2821,0x0bc0,0x0001,0x35ce,0x2801,0x1800,
0x3c30,0x4024,0x0000,0x190d,0x0000,0x3a4e,0x2821,0x0f80,
0x0027,0x9e0f,0x0020,0xcd4f,0x2820,0xc780,0x0001,0x380e,
0x0006,0xf017,0x0000,0x0015,0xb070,0xbc15,0x0000,0x3a4e,
0x0027,0x9e0f,0x2820,0xcd80,0x0000,0x190d,0x3613,0x0024,
0x3e10,0xb803,0x3e14,0x3811,0x3e11,0x3805,0x3e00,0x3801,
0x0007,0xc390,0x0006,0xa011,0x3010,0x0444,0x3050,0x4405,
0x6458,0x0302,0xff94,0x4081,0x0003,0xffc5,0x48b6,0x0024,
0xff82,0x0024,0x42b2,0x0042,0xb458,0x0003,0x4cd6,0x9801,
0xf248,0x1bc0,0xb58a,0x0024,0x6de6,0x1804,0x0006,0x0010,
0x3810,0x9bc5,0x3800,0xc024,0x36f4,0x1811,0x36f0,0x9803,
0x283e,0x2d80,0x0fff,0xffc3,0x2801,0x5280,0x0000,0x0024,
0x3413,0x0024,0x2801,0x4245,0xf400,0x4510,0x2801,0x46c0,
0x6894,0x13cc,0x3000,0x184c,0x6090,0x93cc,0x38b0,0x3812,
0x3004,0x4024,0x0000,0x0910,0x3183,0x0024,0x3100,0x4024,
0x6016,0x0024,0x000c,0x8012,0x2801,0x4551,0xb884,0x104c,
0x6894,0x3002,0x0000,0x028d,0x003a,0x5e0f,0x0001,0x5a8e,
0x2939,0xb0c0,0x3e10,0x93cc,0x4084,0x9bd2,0x4282,0x0024,
0x0000,0x0041,0x2801,0x48c5,0x6212,0x0024,0x0000,0x0040,
0x2801,0x4dc5,0x000c,0x8390,0x2a01,0x5140,0x34c3,0x0024,
0x3444,0x0024,0x3073,0x0024,0x3053,0x0024,0x3000,0x0024,
0x6092,0x098c,0x0000,0x0241,0x2801,0x5145,0x32a0,0x0024,
0x6012,0x0024,0x0000,0x0024,0x2801,0x5155,0x0000,0x0024,
0x3613,0x0024,0x3001,0x3844,0x2920,0x0580,0x3009,0x3852,
0xc090,0x9bd2,0x2801,0x5140,0x3800,0x1bc4,0x000c,0x4113,
0xb880,0x2380,0x3304,0x4024,0x3800,0x05cc,0xcc92,0x05cc,
0x3910,0x0024,0x3910,0x4024,0x000c,0x8110,0x3910,0x0024,
0x39f0,0x4024,0x3810,0x0024,0x38d0,0x4024,0x3810,0x0024,
0x38f0,0x4024,0x34c3,0x0024,0x3444,0x0024,0x3073,0x0024,
0x3063,0x0024,0x3000,0x0024,0x4080,0x0024,0x0000,0x0024,
0x2839,0x53d5,0x4284,0x0024,0x3613,0x0024,0x2801,0x5485,
0x6898,0xb804,0x0000,0x0084,0x293b,0x1cc0,0x3613,0x0024,
0x000c,0x8117,0x3711,0x0024,0x37d1,0x4024,0x4e8a,0x0024,
0x0000,0x0015,0x2801,0x5745,0xce9a,0x0024,0x3f11,0x0024,
0x3f01,0x4024,0x000c,0x8197,0x408a,0x9bc4,0x3f15,0x4024,
0x2801,0x5985,0x4284,0x3c15,0x6590,0x0024,0x0000,0x0024,
0x2839,0x53d5,0x4284,0x0024,0x0000,0x0024,0x2801,0x4118,
0x458a,0x0024,0x2a39,0x53c0,0x003e,0x2d4f,0x283a,0x5ed5,
0x0001,0x39ce,0x000c,0x4653,0x0000,0x0246,0xffac,0x0c01,
0x48be,0x0024,0x4162,0x4546,0x6642,0x4055,0x3501,0x8024,
0x0000,0x0087,0x667c,0x4057,0x000c,0x41d5,0x283a,0x62d5,
0x3501,0x8024,0x667c,0x1c47,0x3701,0x8024,0x283a,0x62d5,
0xc67c,0x0024,0x0000,0x0024,0x283a,0x62c5,0x0000,0x0024,
0x2a3a,0x5ec0,0x3009,0x3851,0x3e14,0xf812,0x3e12,0xb817,
0x3e11,0x8024,0x0006,0x0293,0x3301,0x8024,0x468c,0x3804,
0x0006,0xa057,0x2801,0x6684,0x0006,0x0011,0x469c,0x0024,
0x3be1,0x8024,0x2801,0x6695,0x0006,0xc392,0x3311,0x0024,
0x33f1,0x2844,0x3009,0x2bc4,0x0030,0x04d2,0x3311,0x0024,
0x3a11,0x0024,0x3201,0x8024,0x003f,0xfc04,0xb64c,0x0fc4,
0xc648,0x0024,0x3a01,0x0024,0x3111,0x1fd3,0x6498,0x07c6,
0x868c,0x2444,0x0023,0xffd2,0x3901,0x8e06,0x0030,0x0551,
0x3911,0x8e06,0x3961,0x9c44,0xf400,0x44c6,0xd46c,0x1bc4,
0x36f1,0xbc13,0x2801,0x7015,0x36f2,0x9817,0x002b,0xffd2,
0x3383,0x188c,0x3e01,0x8c06,0x0006,0xa097,0x3009,0x1c12,
0x3213,0x0024,0x468c,0xbc12,0x002b,0xffd2,0xf400,0x4197,
0x2801,0x6d04,0x3713,0x0024,0x2801,0x6d45,0x37e3,0x0024,
0x3009,0x2c17,0x3383,0x0024,0x3009,0x0c06,0x468c,0x4197,
0x0006,0xa052,0x2801,0x6f44,0x3713,0x2813,0x2801,0x6f85,
0x37e3,0x0024,0x3009,0x2c17,0x36f1,0x8024,0x36f2,0x9817,
0x36f4,0xd812,0x2100,0x0000,0x3904,0x5bd1,0x2a01,0x604e,
0x3e11,0x7804,0x0030,0x0257,0x3701,0x0024,0x0013,0x4d05,
0xd45b,0xe0e1,0x0007,0xc795,0x2801,0x7795,0x0fff,0xff45,
0x3511,0x184c,0x4488,0xb808,0x0006,0x8a97,0x2801,0x7745,
0x3009,0x1c40,0x3511,0x1fc1,0x0000,0x0020,0xac52,0x1405,
0x6ce2,0x0024,0x0000,0x0024,0x2801,0x7741,0x68c2,0x0024,
0x291a,0x8a40,0x3e10,0x0024,0x2921,0xca80,0x3e00,0x4024,
0x36f3,0x0024,0x3009,0x1bc8,0x36f0,0x1801,0x3601,0x5804,
0x3e13,0x780f,0x3e13,0xb808,0x0008,0x9b0f,0x0001,0x7a4e,
0x2908,0x9300,0x0000,0x004d,0x36f3,0x9808,0x2000,0x0000,
0x36f3,0x580f,0x0007,0x81d7,0x3711,0x8024,0x3711,0xc024,
0x3700,0x0024,0x0000,0x2001,0xb012,0x0024,0x0034,0x0000,
0x2801,0x7d05,0x0000,0x01c1,0x0014,0xc000,0x0000,0x01c1,
0x4fce,0x0024,0xffea,0x0024,0x48b6,0x0024,0x4384,0x4097,
0xb886,0x45c6,0xfede,0x0024,0x4db6,0x0024,0x466c,0x0024,
0x0006,0xc610,0x8dd6,0x8007,0x0000,0x00c6,0xff6e,0x0024,
0x48b2,0x0024,0x0034,0x2406,0xffee,0x0024,0x2914,0xaa80,
0x40b2,0x0024,0xf1c6,0x0024,0xf1d6,0x0024,0x0000,0x0201,
0x8d86,0x0024,0x61de,0x0024,0x0006,0xc612,0x2801,0x8381,
0x0006,0xc713,0x4c86,0x0024,0x2912,0x1180,0x0006,0xc351,
0x0006,0x0210,0x2912,0x0d00,0x3810,0x984c,0xf200,0x2043,
0x2808,0xa000,0x3800,0x0024,
0x0007,0x0001, /*copy 1*/
0x802e,
0x0006,0x0002, /*copy 2*/
0x2801,0x7100,
0x0007,0x0001, /*copy 1*/
0x8050,
0x0006,0x042a, /*copy 1066*/
0x3e12,0x3800,0x3e00,0xb804,0x0030,0x0015,0x0007,0x8257,
0x3700,0x984c,0xf224,0x1444,0xf224,0x0024,0x0008,0x0002,
0x2910,0x0181,0x0000,0x1488,0xb428,0x1402,0x0000,0x8004,
0x2910,0x0195,0x0000,0x1488,0xb428,0x0024,0x0006,0x0095,
0x2800,0x2085,0x3e13,0x780e,0x3e11,0x7803,0x3e13,0xf806,
0x3e01,0xf801,0x3510,0x8024,0x3510,0xc024,0x0000,0x0021,
0xf2d6,0x1444,0x4090,0x1745,0x0000,0x0022,0xf2ea,0x4497,
0x2400,0x1c40,0x6090,0x1c46,0xfe6c,0x0024,0xcdb6,0x1c46,
0xfe6c,0x0024,0xceba,0x1c46,0x4d86,0x3442,0x0000,0x09c7,
0x2800,0x1dc5,0xf5d4,0x3443,0x6724,0x0024,0x4e8a,0x3444,
0x0000,0x0206,0x2800,0x1f05,0xf5e8,0x3705,0x6748,0x0024,
0xa264,0x9801,0xc248,0x1bc7,0x0030,0x03d5,0x3d01,0x0024,
0x36f3,0xd806,0x3601,0x5803,0x36f3,0x0024,0x36f3,0x580e,
0x0007,0x8257,0x0000,0x6004,0x3730,0x8024,0xb244,0x1c04,
0xd428,0x3c02,0x0006,0xc717,0x2800,0x2445,0x4284,0x0024,
0x3613,0x3c02,0x0006,0xc357,0x2901,0x7100,0x3e11,0x5c05,
0x4284,0x1bc5,0x0000,0x0024,0x2800,0x2705,0x0000,0x0024,
0x3613,0x0024,0x3e10,0x3813,0x3e14,0x8024,0x3e04,0x8024,
0x2900,0x4340,0x0006,0x02d3,0x36e3,0x0024,0x3009,0x1bd3,
0x0007,0x8257,0x3700,0x8024,0xf224,0x0024,0x0000,0x0024,
0x2800,0x2911,0x3600,0x9844,0x2900,0x2ec0,0x0000,0x2988,
0x2911,0xf140,0x0000,0x0024,0x0030,0x0057,0x3700,0x0024,
0xf200,0x4595,0x0fff,0xfe02,0xa024,0x164c,0x8000,0x17cc,
0x3f00,0x0024,0x3500,0x0024,0x0021,0x6d82,0xd024,0x44c0,
0x0006,0xa402,0x2800,0x2dd5,0xd024,0x0024,0x0000,0x0000,
0x2800,0x2dd5,0x000b,0x6d57,0x3009,0x3c00,0x36f0,0x8024,
0x36f2,0x1800,0x2000,0x0000,0x0000,0x0024,0x3e14,0x7810,
0x3e13,0xb80d,0x3e13,0xf80a,0x3e10,0xb803,0x3e11,0x3805,
0x3e11,0xb807,0x3e14,0xf801,0x0001,0x000a,0x0006,0xc4d5,
0xbf8e,0x9442,0x3e01,0x9403,0x0006,0xa017,0x0023,0xffd1,
0x0000,0x0053,0x3281,0xf806,0x4091,0x2d64,0x2400,0x3440,
0x4efa,0x9c10,0xf1eb,0x6061,0xfe55,0x2f66,0x5653,0x2d64,
0x48b2,0xa201,0x4efa,0xa201,0x36f3,0x3c10,0x36f4,0xd801,
0x36f1,0x9807,0x36f1,0x1805,0x36f0,0x9803,0x36f3,0xd80a,
0x36f3,0x980d,0x2000,0x0000,0x36f4,0x5810,0x3e12,0xb817,
0x3e14,0xf812,0x3e01,0xb811,0x0007,0x9717,0x0020,0xffd2,
0x0030,0x11d1,0x3111,0x8024,0x3704,0xc024,0x3b81,0x8024,
0x3101,0x8024,0x3b81,0x8024,0x3f04,0xc024,0x2808,0x4800,
0x36f1,0x9811,0x36f3,0x0024,0x3009,0x3848,0x3e14,0x3811,
0x3e00,0x0024,0x0000,0x4000,0x0001,0x0010,0x2915,0x94c0,
0x0001,0xcc11,0x36f0,0x0024,0x2927,0x9e40,0x3604,0x1811,
0x3613,0x0024,0x3e14,0x3811,0x3e00,0x0024,0x0000,0x4000,
0x0001,0x0010,0x2915,0x94c0,0x0001,0xcc11,0x36f0,0x0024,
0x36f4,0x1811,0x3009,0x1808,0x2000,0x0000,0x0000,0x190d,
0x3600,0x3840,0x3e13,0x780e,0x3e13,0xf808,0x3e00,0x0024,
0x0000,0x3a4e,0x0027,0x9e0f,0x2922,0xb680,0x0000,0x190d,
0x36f3,0x0024,0x36f3,0xd808,0x36f3,0x580e,0x2000,0x0000,
0x3009,0x1800,0x3613,0x0024,0x3e22,0xb815,0x3e05,0xb814,
0x3615,0x0024,0x0000,0x800a,0x3e13,0x7801,0x3e10,0xb803,
0x3e11,0x3805,0x3e11,0xb807,0x3e14,0x3811,0x3e14,0xb813,
0x3e03,0xf80e,0xb488,0x44d5,0x3543,0x134c,0x34e5,0xc024,
0x3524,0x8024,0x35a4,0xc024,0x3710,0x8a0c,0x3540,0x4a0c,
0x3d44,0x8024,0x3a10,0x8024,0x3590,0x0024,0x4010,0x15c1,
0x6010,0x3400,0x3710,0x8024,0x2800,0x4f04,0x3af0,0x8024,
0x3df0,0x0024,0x3591,0x4024,0x3530,0x4024,0x4192,0x4050,
0x6100,0x1482,0x4020,0x1753,0xbf8e,0x1582,0x4294,0x4011,
0xbd86,0x408e,0x2400,0x4d0e,0xfe6d,0x2819,0x520e,0x0a00,
0x5207,0x2819,0x4fbe,0x0024,0xad56,0x904c,0xaf5e,0x1010,
0xf7d4,0x0024,0xf7fc,0x2042,0x6498,0x2046,0x3cf4,0x0024,
0x3400,0x170c,0x4090,0x1492,0x35a4,0xc024,0x2800,0x4795,
0x3c00,0x0024,0x4480,0x914c,0x36f3,0xd80e,0x36f4,0x9813,
0x36f4,0x1811,0x36f1,0x9807,0x36f1,0x1805,0x36f0,0x9803,
0x36f3,0x5801,0x3405,0x9014,0x36e3,0x0024,0x2000,0x0000,
0x36f2,0x9815,0x3e12,0xb817,0x3e12,0x3815,0x3e05,0xb814,
0x3625,0x0024,0x0000,0x800a,0x3e10,0x3801,0x3e10,0xb803,
0x3e11,0x3805,0x3e11,0xb807,0x3e14,0x3811,0x0006,0xa090,
0x2912,0x0d00,0x3e14,0xc024,0x4088,0x8000,0x4080,0x0024,
0x0007,0x90d1,0x2800,0x5905,0x0000,0x0024,0x0007,0x9051,
0x3100,0x4024,0x4100,0x0024,0x3900,0x0024,0x0007,0x90d1,
0x0004,0x0000,0x31f0,0x4024,0x6014,0x0400,0x0000,0x0024,
0x2800,0x5d51,0x4080,0x0024,0x0000,0x0000,0x2800,0x5cc5,
0x0000,0x0024,0x0007,0x9053,0x3300,0x0024,0x4080,0x0024,
0x0000,0x0000,0x2800,0x5d58,0x0000,0x0024,0x0007,0x9051,
0x3900,0x0024,0x3200,0x504c,0x6410,0x0024,0x3cf0,0x0000,
0x4080,0x0024,0x0006,0xc691,0x2800,0x7605,0x3009,0x0400,
0x0007,0x9051,0x0000,0x1001,0x3100,0x0024,0x6012,0x0024,
0x0006,0xc6d0,0x2800,0x6a49,0x003f,0xe000,0x0006,0xc693,
0x3900,0x0c00,0x3009,0x0001,0x6014,0x0024,0x0007,0x1ad0,
0x2800,0x6a55,0x3009,0x0000,0x4080,0x0024,0x0000,0x0301,
0x2800,0x6445,0x4090,0x0024,0x0000,0x0024,0x2800,0x6555,
0x0000,0x0024,0x3009,0x0000,0xc012,0x0024,0x2800,0x6a40,
0x3009,0x2001,0x3009,0x0000,0x6012,0x0024,0x0000,0x0341,
0x2800,0x6755,0x0000,0x0024,0x6190,0x0024,0x2800,0x6a40,
0x3009,0x2000,0x6012,0x0024,0x0000,0x0381,0x2800,0x6915,
0x0000,0x0024,0x6190,0x0024,0x2800,0x6a40,0x3009,0x2000,
0x6012,0x0024,0x0000,0x00c0,0x2800,0x6a55,0x0000,0x0024,
0x3009,0x2000,0x0006,0xa090,0x3009,0x0000,0x4080,0x0024,
0x0000,0x0081,0x2800,0x6f15,0x0007,0x8c13,0x3300,0x104c,
0xb010,0x0024,0x0002,0x8001,0x2800,0x7185,0x34f0,0x0024,
0x2800,0x6f00,0x0000,0x0024,0x0006,0xc351,0x3009,0x0000,
0x6090,0x0024,0x3009,0x2000,0x2900,0x0b80,0x3009,0x0405,
0x0006,0xc690,0x0006,0xc6d1,0x3009,0x0000,0x3009,0x0401,
0x6014,0x0024,0x0006,0xa093,0x2800,0x6d91,0xb880,0x0024,
0x2800,0x7ec0,0x3009,0x2c00,0x4040,0x0024,0x6012,0x0024,
0x0006,0xc6d0,0x2800,0x7ed8,0x0000,0x0024,0x0006,0xc693,
0x3009,0x0c00,0x3009,0x0001,0x6014,0x0024,0x0006,0xc350,
0x2800,0x7ec1,0x0000,0x0024,0x6090,0x0024,0x3009,0x2c00,
0x3009,0x0005,0x2900,0x0b80,0x0000,0x7ec8,0x3009,0x0400,
0x4080,0x0024,0x0003,0x8000,0x2800,0x7ec5,0x0000,0x0024,
0x6400,0x0024,0x0000,0x0081,0x2800,0x7ec9,0x0000,0x0024,
0x0007,0x8c13,0x3300,0x0024,0xb010,0x0024,0x0006,0xc650,
0x2800,0x7ed5,0x0000,0x0024,0x0001,0x0002,0x3413,0x0000,
0x3009,0x0401,0x4010,0x8406,0x0000,0x0281,0xa010,0x13c1,
0x4122,0x0024,0x0000,0x03c2,0x6122,0x8002,0x462c,0x0024,
0x469c,0x0024,0xfee2,0x0024,0x48be,0x0024,0x6066,0x8400,
0x0006,0xc350,0x2800,0x7ec1,0x0000,0x0024,0x4090,0x0024,
0x3009,0x2400,0x2900,0x0b80,0x3009,0x0005,0x0007,0x1b50,
0x2912,0x0d00,0x3613,0x0024,0x3a00,0x0380,0x4080,0x0024,
0x0000,0x00c1,0x2800,0x8785,0x3009,0x0000,0xb010,0x008c,
0x4192,0x0024,0x6012,0x0024,0x0006,0xf051,0x2800,0x8598,
0x3009,0x0400,0x0007,0x1fd1,0x30e3,0x0400,0x4080,0x0024,
0x0000,0x0301,0x2800,0x8785,0x3009,0x0000,0xb010,0x0024,
0x0000,0x0101,0x6012,0x0024,0x0006,0xf051,0x2800,0x8795,
0x0000,0x0024,0x3023,0x0400,0xf200,0x184c,0xb880,0xa400,
0x3009,0x2000,0x3009,0x0441,0x3e10,0x4402,0x2909,0xa9c0,
0x3e10,0x8024,0x36e3,0x0024,0x36f4,0xc024,0x36f4,0x1811,
0x36f1,0x9807,0x36f1,0x1805,0x36f0,0x9803,0x36f0,0x1801,
0x3405,0x9014,0x36f3,0x0024,0x36f2,0x1815,0x2000,0x0000,
0x36f2,0x9817,0x3613,0x0024,0x3e12,0xb817,0x3e12,0x3815,
0x3e05,0xb814,0x3615,0x0024,0x0000,0x800a,0x3e10,0xb803,
0x0012,0x5103,0x3e11,0x3805,0x3e11,0xb807,0x3e14,0x380d,
0x0030,0x0250,0x3e13,0xf80e,0xbe8b,0x83e0,0x290c,0x4840,
0x3613,0x0024,0x290c,0x4840,0x4086,0x984c,0x0000,0x00ce,
0x2400,0x918e,0x3009,0x1bc0,0x0000,0x01c3,0xae3a,0x184c,
0x0000,0x0043,0x3009,0x3842,0x290c,0x4840,0x3009,0x3840,
0x4084,0x9bc0,0xfe26,0x9bc2,0xceba,0x0024,0x4e8e,0x0024,
0x4e9a,0x0024,0x4f8e,0x0024,0x0000,0x0102,0x2800,0x96c5,
0x0030,0x0010,0x0000,0x0206,0x3613,0x0024,0x290c,0x4840,
0x3009,0x3840,0x3000,0xdbc0,0xb366,0x0024,0x0000,0x0024,
0x2800,0x96d5,0x4e8e,0x0024,0x4e9a,0x0024,0x4f8e,0x0024,
0x0030,0x0010,0x2800,0x9395,0x0000,0x0206,0x36f3,0xd80e,
0x36f4,0x180d,0x36f1,0x9807,0x36f1,0x1805,0x36f0,0x9803,
0x3405,0x9014,0x36f3,0x0024,0x36f2,0x1815,0x2000,0x0000,
0x36f2,0x9817,
0x0007,0x0001, /*copy 1*/
0x8030,
0x0006,0x0002, /*copy 2*/
0x2800,0x1400,
0x0007,0x0001, /*copy 1*/
0x8028,
0x0006,0x0002, /*copy 2*/
0x2a00,0x36ce,
0x0007,0x0001, /*copy 1*/
0x8032,
0x0006,0x0002, /*copy 2*/
0x2800,0x5340,
0x0007,0x0001, /*copy 1*/
0x3580,
0x0006, 0x8038, 0x0000, /*Rle(56)*/
0x0007,0x0001, /*copy 1*/
0xfab3,
0x0006,0x01a4, /*copy 420*/
0x0001,0x0001,0x0001,0x0001,0x0000,0xffff,0xfffe,0xfffb,
0xfff9,0xfff5,0xfff2,0xffed,0xffe8,0xffe3,0xffde,0xffd8,
0xffd3,0xffce,0xffca,0xffc7,0xffc4,0xffc4,0xffc5,0xffc7,
0xffcc,0xffd3,0xffdc,0xffe6,0xfff3,0x0001,0x0010,0x001f,
0x002f,0x003f,0x004e,0x005b,0x0066,0x006f,0x0074,0x0075,
0x0072,0x006b,0x005f,0x004f,0x003c,0x0024,0x0009,0xffed,
0xffcf,0xffb0,0xff93,0xff77,0xff5f,0xff4c,0xff3d,0xff35,
0xff34,0xff3b,0xff4a,0xff60,0xff7e,0xffa2,0xffcd,0xfffc,
0x002e,0x0061,0x0094,0x00c4,0x00f0,0x0114,0x0131,0x0144,
0x014b,0x0146,0x0134,0x0116,0x00eb,0x00b5,0x0075,0x002c,
0xffde,0xff8e,0xff3d,0xfeef,0xfea8,0xfe6a,0xfe39,0xfe16,
0xfe05,0xfe06,0xfe1b,0xfe43,0xfe7f,0xfecd,0xff2a,0xff95,
0x0009,0x0082,0x00fd,0x0173,0x01e1,0x0242,0x0292,0x02cc,
0x02ec,0x02f2,0x02da,0x02a5,0x0253,0x01e7,0x0162,0x00c9,
0x0021,0xff70,0xfebc,0xfe0c,0xfd68,0xfcd5,0xfc5b,0xfc00,
0xfbc9,0xfbb8,0xfbd2,0xfc16,0xfc85,0xfd1b,0xfdd6,0xfeae,
0xff9e,0x009c,0x01a0,0x02a1,0x0392,0x046c,0x0523,0x05b0,
0x060a,0x062c,0x0613,0x05bb,0x0526,0x0456,0x0351,0x021f,
0x00c9,0xff5a,0xfde1,0xfc6a,0xfb05,0xf9c0,0xf8aa,0xf7d0,
0xf73d,0xf6fa,0xf70f,0xf77e,0xf848,0xf96b,0xfadf,0xfc9a,
0xfe8f,0x00ad,0x02e3,0x051a,0x073f,0x0939,0x0af4,0x0c5a,
0x0d59,0x0de1,0x0de5,0x0d5c,0x0c44,0x0a9e,0x0870,0x05c7,
0x02b4,0xff4e,0xfbaf,0xf7f8,0xf449,0xf0c7,0xed98,0xeae0,
0xe8c4,0xe765,0xe6e3,0xe756,0xe8d2,0xeb67,0xef19,0xf3e9,
0xf9cd,0x00b5,0x088a,0x112b,0x1a72,0x2435,0x2e42,0x3866,
0x426b,0x4c1b,0x553e,0x5da2,0x6516,0x6b6f,0x7087,0x7441,
0x7686,0x774a,0x7686,0x7441,0x7087,0x6b6f,0x6516,0x5da2,
0x553e,0x4c1b,0x426b,0x3866,0x2e42,0x2435,0x1a72,0x112b,
0x088a,0x00b5,0xf9cd,0xf3e9,0xef19,0xeb67,0xe8d2,0xe756,
0xe6e3,0xe765,0xe8c4,0xeae0,0xed98,0xf0c7,0xf449,0xf7f8,
0xfbaf,0xff4e,0x02b4,0x05c7,0x0870,0x0a9e,0x0c44,0x0d5c,
0x0de5,0x0de1,0x0d59,0x0c5a,0x0af4,0x0939,0x073f,0x051a,
0x02e3,0x00ad,0xfe8f,0xfc9a,0xfadf,0xf96b,0xf848,0xf77e,
0xf70f,0xf6fa,0xf73d,0xf7d0,0xf8aa,0xf9c0,0xfb05,0xfc6a,
0xfde1,0xff5a,0x00c9,0x021f,0x0351,0x0456,0x0526,0x05bb,
0x0613,0x062c,0x060a,0x05b0,0x0523,0x046c,0x0392,0x02a1,
0x01a0,0x009c,0xff9e,0xfeae,0xfdd6,0xfd1b,0xfc85,0xfc16,
0xfbd2,0xfbb8,0xfbc9,0xfc00,0xfc5b,0xfcd5,0xfd68,0xfe0c,
0xfebc,0xff70,0x0021,0x00c9,0x0162,0x01e7,0x0253,0x02a5,
0x02da,0x02f2,0x02ec,0x02cc,0x0292,0x0242,0x01e1,0x0173,
0x00fd,0x0082,0x0009,0xff95,0xff2a,0xfecd,0xfe7f,0xfe43,
0xfe1b,0xfe06,0xfe05,0xfe16,0xfe39,0xfe6a,0xfea8,0xfeef,
0xff3d,0xff8e,0xffde,0x002c,0x0075,0x00b5,0x00eb,0x0116,
0x0134,0x0146,0x014b,0x0144,0x0131,0x0114,0x00f0,0x00c4,
0x0094,0x0061,0x002e,0xfffc,0xffcd,0xffa2,0xff7e,0xff60,
0xff4a,0xff3b,0xff34,0xff35,0xff3d,0xff4c,0xff5f,0xff77,
0xff93,0xffb0,0xffcf,0xffed,0x0009,0x0024,0x003c,0x004f,
0x005f,0x006b,0x0072,0x0075,0x0074,0x006f,0x0066,0x005b,
0x004e,0x003f,0x002f,0x001f,0x0010,0x0001,0xfff3,0xffe6,
0xffdc,0xffd3,0xffcc,0xffc7,0xffc5,0xffc4,0xffc4,0xffc7,
0xffca,0xffce,0xffd3,0xffd8,0xffde,0xffe3,0xffe8,0xffed,
0xfff2,0xfff5,0xfff9,0xfffb,0xfffe,0xffff,0x0000,0x0001,
0x0001,0x0001,0x0001,0x0000,
0x0007,0x0001, /*copy 1*/
0x180b,
0x0006,0x000b, /*copy 11*/
0x000f,0x0010,0x001c,0xfab3,0x3580,0x804b,0xa04b,0x0001,
0x0000,0x3580,0x01a4,
0x000a,0x0001, /*copy 1*/
0x0300,
#define PLUGIN_SIZE 3134
#endif
/MSP430/MSP430_Audio_Cube/vs10xx_uc.h
0,0 → 1,554
#ifndef VS10XX_MICROCONTROLLER_DEFINITIONS_H
#define VS10XX_MICROCONTROLLER_DEFINITIONS_H
 
/*
 
VLSI Solution microcontroller definitions for:
VS1063, VS1053 (and VS8053), VS1033, VS1003, VS1103, VS1011.
 
v1.01 2012-11-26 HH Added VS1053 recording registers, bug fixes
v1.00 2012-11-23 HH Initial version
 
*/
 
/* SCI registers */
 
#define SCI_MODE 0x00
#define SCI_STATUS 0x01
#define SCI_BASS 0x02
#define SCI_CLOCKF 0x03
#define SCI_DECODE_TIME 0x04
#define SCI_AUDATA 0x05
#define SCI_WRAM 0x06
#define SCI_WRAMADDR 0x07
#define SCI_HDAT0 0x08 /* VS1063, VS1053, VS1033, VS1003, VS1011 */
#define SCI_IN0 0x08 /* VS1103 */
#define SCI_HDAT1 0x09 /* VS1063, VS1053, VS1033, VS1003, VS1011 */
#define SCI_IN1 0x09 /* VS1103 */
#define SCI_AIADDR 0x0A
#define SCI_VOL 0x0B
#define SCI_AICTRL0 0x0C /* VS1063, VS1053, VS1033, VS1003, VS1011 */
#define SCI_MIXERVOL 0x0C /* VS1103 */
#define SCI_AICTRL1 0x0D /* VS1063, VS1053, VS1033, VS1003, VS1011 */
#define SCI_ADPCMRECCTL 0x0D /* VS1103 */
#define SCI_AICTRL2 0x0E
#define SCI_AICTRL3 0x0F
 
 
/* SCI register recording aliases */
 
#define SCI_RECQUALITY 0x07 /* (WRAMADDR) VS1063 */
#define SCI_RECDATA 0x08 /* (HDAT0) VS1063 */
#define SCI_RECWORDS 0x09 /* (HDAT1) VS1063 */
#define SCI_RECRATE 0x0C /* (AICTRL0) VS1063, VS1053 */
#define SCI_RECDIV 0x0C /* (AICTRL0) VS1033, VS1003 */
#define SCI_RECGAIN 0x0D /* (AICTRL1) VS1063, VS1053, VS1033, VS1003 */
#define SCI_RECMAXAUTO 0x0E /* (AICTRL2) VS1063, VS1053, VS1033 */
#define SCI_RECMODE 0x0F /* (AICTRL3) VS1063, VS1053 */
 
 
/* SCI_MODE bits */
 
#define SM_DIFF_B 0
#define SM_LAYER12_B 1 /* VS1063, VS1053, VS1033, VS1011 */
#define SM_RECORD_PATH_B 1 /* VS1103 */
#define SM_RESET_B 2
#define SM_CANCEL_B 3 /* VS1063, VS1053 */
#define SM_OUTOFWAV_B 3 /* VS1033, VS1003, VS1011 */
#define SM_OUTOFMIDI_B 3 /* VS1103 */
#define SM_EARSPEAKER_LO_B 4 /* VS1053, VS1033 */
#define SM_PDOWN_B 4 /* VS1003, VS1103 */
#define SM_TESTS_B 5
#define SM_STREAM_B 6 /* VS1053, VS1033, VS1003, VS1011 */
#define SM_ICONF_B 6 /* VS1103 */
#define SM_EARSPEAKER_HI_B 7 /* VS1053, VS1033 */
#define SM_DACT_B 8
#define SM_SDIORD_B 9
#define SM_SDISHARE_B 10
#define SM_SDINEW_B 11
#define SM_ENCODE_B 12 /* VS1063 */
#define SM_ADPCM_B 12 /* VS1053, VS1033, VS1003 */
#define SM_EARSPEAKER_1103_B 12 /* VS1103 */
#define SM_ADPCM_HP_B 13 /* VS1033, VS1003 */
#define SM_LINE1_B 14 /* VS1063, VS1053 */
#define SM_LINE_IN_B 14 /* VS1033, VS1003, VS1103 */
#define SM_CLK_RANGE_B 15 /* VS1063, VS1053, VS1033 */
#define SM_ADPCM_1103_B 15 /* VS1103 */
 
#define SM_DIFF (1<< 0)
#define SM_LAYER12 (1<< 1) /* VS1063, VS1053, VS1033, VS1011 */
#define SM_RECORD_PATH (1<< 1) /* VS1103 */
#define SM_RESET (1<< 2)
#define SM_CANCEL (1<< 3) /* VS1063, VS1053 */
#define SM_OUTOFWAV (1<< 3) /* VS1033, VS1003, VS1011 */
#define SM_OUTOFMIDI (1<< 3) /* VS1103 */
#define SM_EARSPEAKER_LO (1<< 4) /* VS1053, VS1033 */
#define SM_PDOWN (1<< 4) /* VS1003, VS1103 */
#define SM_TESTS (1<< 5)
#define SM_STREAM (1<< 6) /* VS1053, VS1033, VS1003, VS1011 */
#define SM_ICONF (1<< 6) /* VS1103 */
#define SM_EARSPEAKER_HI (1<< 7) /* VS1053, VS1033 */
#define SM_DACT (1<< 8)
#define SM_SDIORD (1<< 9)
#define SM_SDISHARE (1<<10)
#define SM_SDINEW (1<<11)
#define SM_ENCODE (1<<12) /* VS1063 */
#define SM_ADPCM (1<<12) /* VS1053, VS1033, VS1003 */
#define SM_EARSPEAKER1103 (1<<12) /* VS1103 */
#define SM_ADPCM_HP (1<<13) /* VS1033, VS1003 */
#define SM_LINE1 (1<<14) /* VS1063, VS1053 */
#define SM_LINE_IN (1<<14) /* VS1033, VS1003, VS1103 */
#define SM_CLK_RANGE (1<<15) /* VS1063, VS1053, VS1033 */
#define SM_ADPCM_1103 (1<<15) /* VS1103 */
 
#define SM_ICONF_BITS 2
#define SM_ICONF_MASK 0x00c0
 
#define SM_EARSPEAKER_1103_BITS 2
#define SM_EARSPEAKER_1103_MASK 0x3000
 
 
/* SCI_STATUS bits */
 
#define SS_REFERENCE_SEL_B 0 /* VS1063, VS1053 */
#define SS_AVOL_B 0 /* VS1033, VS1003, VS1103, VS1011 */
#define SS_AD_CLOCK_B 1 /* VS1063, VS1053 */
#define SS_APDOWN1_B 2
#define SS_APDOWN2_B 3
#define SS_VER_B 4
#define SS_VCM_DISABLE_B 10 /* VS1063, VS1053 */
#define SS_VCM_OVERLOAD_B 11 /* VS1063, VS1053 */
#define SS_SWING_B 12 /* VS1063, VS1053 */
#define SS_DO_NOT_JUMP_B 15 /* VS1063, VS1053 */
 
#define SS_REFERENCE_SEL (1<< 0) /* VS1063, VS1053 */
#define SS_AVOL (1<< 0) /* VS1033, VS1003, VS1103, VS1011 */
#define SS_AD_CLOCK (1<< 1) /* VS1063, VS1053 */
#define SS_APDOWN1 (1<< 2)
#define SS_APDOWN2 (1<< 3)
#define SS_VER (1<< 4)
#define SS_VCM_DISABLE (1<<10) /* VS1063, VS1053 */
#define SS_VCM_OVERLOAD (1<<11) /* VS1063, VS1053 */
#define SS_SWING (1<<12) /* VS1063, VS1053 */
#define SS_DO_NOT_JUMP (1<<15) /* VS1063, VS1053 */
 
#define SS_SWING_BITS 3
#define SS_SWING_MASK 0x7000
#define SS_VER_BITS 4
#define SS_VER_MASK 0x00f0
#define SS_AVOL_BITS 2
#define SS_AVOL_MASK 0x0003
 
#define SS_VER_VS1001 0x00
#define SS_VER_VS1011 0x10
#define SS_VER_VS1002 0x20
#define SS_VER_VS1003 0x30
#define SS_VER_VS1053 0x40
#define SS_VER_VS8053 0x40
#define SS_VER_VS1033 0x50
#define SS_VER_VS1063 0x60
#define SS_VER_VS1103 0x70
 
 
/* SCI_BASS bits */
 
#define ST_AMPLITUDE_B 12
#define ST_FREQLIMIT_B 8
#define SB_AMPLITUDE_B 4
#define SB_FREQLIMIT_B 0
 
#define ST_AMPLITUDE (1<<12)
#define ST_FREQLIMIT (1<< 8)
#define SB_AMPLITUDE (1<< 4)
#define SB_FREQLIMIT (1<< 0)
 
#define ST_AMPLITUDE_BITS 4
#define ST_AMPLITUDE_MASK 0xf000
#define ST_FREQLIMIT_BITS 4
#define ST_FREQLIMIT_MASK 0x0f00
#define SB_AMPLITUDE_BITS 4
#define SB_AMPLITUDE_MASK 0x00f0
#define SB_FREQLIMIT_BITS 4
#define SB_FREQLIMIT_MASK 0x000f
 
 
/* SCI_CLOCKF bits */
 
#define SC_MULT_B 13 /* VS1063, VS1053, VS1033, VS1103, VS1003 */
#define SC_ADD_B 11 /* VS1063, VS1053, VS1033, VS1003 */
#define SC_FREQ_B 0 /* VS1063, VS1053, VS1033, VS1103, VS1003 */
 
#define SC_MULT (1<<13) /* VS1063, VS1053, VS1033, VS1103, VS1003 */
#define SC_ADD (1<<11) /* VS1063, VS1053, VS1033, VS1003 */
#define SC_FREQ (1<< 0) /* VS1063, VS1053, VS1033, VS1103, VS1003 */
 
#define SC_MULT_BITS 3
#define SC_MULT_MASK 0xe000
#define SC_ADD_BITS 2
#define SC_ADD_MASK 0x1800
#define SC_FREQ_BITS 11
#define SC_FREQ_MASK 0x07ff
 
/* The following macro is for VS1063, VS1053, VS1033, VS1003, VS1103.
Divide hz by two when calling if SM_CLK_RANGE = 1 */
#define HZ_TO_SC_FREQ(hz) (((hz)-8000000+2000)/4000)
 
/* The following macro is for VS1011.
The macro will automatically set the clock doubler if XTALI < 16 MHz */
#define HZ_TO_SCI_CLOCKF(hz) ((((hz)<16000000)?0x8000:0)+((hz)+1000)/2000)
 
/* Following are for VS1003 and VS1033 */
#define SC_MULT_03_10X 0x0000
#define SC_MULT_03_15X 0x2000
#define SC_MULT_03_20X 0x4000
#define SC_MULT_03_25X 0x6000
#define SC_MULT_03_30X 0x8000
#define SC_MULT_03_35X 0xa000
#define SC_MULT_03_40X 0xc000
#define SC_MULT_03_45X 0xe000
 
/* Following are for VS1053 and VS1063 */
#define SC_MULT_53_10X 0x0000
#define SC_MULT_53_20X 0x2000
#define SC_MULT_53_25X 0x4000
#define SC_MULT_53_30X 0x6000
#define SC_MULT_53_35X 0x8000
#define SC_MULT_53_40X 0xa000
#define SC_MULT_53_45X 0xc000
#define SC_MULT_53_50X 0xe000
 
/* Following are for VS1003 and VS1033 */
#define SC_ADD_03_00X 0x0000
#define SC_ADD_03_05X 0x0800
#define SC_ADD_03_10X 0x1000
#define SC_ADD_03_15X 0x1800
 
/* Following are for VS1053 and VS1063 */
#define SC_ADD_53_00X 0x0000
#define SC_ADD_53_10X 0x0800
#define SC_ADD_53_15X 0x1000
#define SC_ADD_53_20X 0x1800
 
 
/* SCI_WRAMADDR bits */
 
#define SCI_WRAM_X_START 0x0000
#define SCI_WRAM_Y_START 0x4000
#define SCI_WRAM_I_START 0x8000
#define SCI_WRAM_IO_START 0xC000
#define SCI_WRAM_PARAMETRIC_START 0xC0C0 /* VS1063 */
#define SCI_WRAM_Y2_START 0xE000 /* VS1063 */
 
#define SCI_WRAM_X_OFFSET 0x0000
#define SCI_WRAM_Y_OFFSET 0x4000
#define SCI_WRAM_I_OFFSET 0x8000
#define SCI_WRAM_IO_OFFSET 0x0000 /* I/O addresses are @0xC000 -> no offset */
#define SCI_WRAM_PARAMETRIC_OFFSET (0xC0C0-0x1E00) /* VS1063 */
#define SCI_WRAM_Y2_OFFSET 0x0000 /* VS1063 */
 
 
/* SCI_VOL bits */
 
#define SV_LEFT_B 8
#define SV_RIGHT_B 0
 
#define SV_LEFT (1<<8)
#define SV_RIGHT (1<<0)
 
#define SV_LEFT_BITS 8
#define SV_LEFT_MASK 0xFF00
#define SV_RIGHT_BITS 8
#define SV_RIGHT_MASK 0x00FF
 
 
/* SCI_MIXERVOL bits for VS1103 */
 
#define SMV_ACTIVE_B 15
#define SMV_GAIN3_B 10
#define SMV_GAIN2_B 5
#define SMV_GAIN1_B 0
 
#define SMV_ACTIVE (1<<15)
#define SMV_GAIN3 (1<<10)
#define SMV_GAIN2 (1<< 5)
#define SMV_GAIN1 (1<< 0)
 
#define SMV_GAIN3_BITS 5
#define SMV_GAIN3_MASK 0x7c00
#define SMV_GAIN2_BITS 5
#define SMV_GAIN2_MASK 0x04e0
#define SMV_GAIN1_BITS 5
#define SMV_GAIN1_MASK 0x001f
 
 
/* SCI_ADPCMRECCTL bits for VS1103 */
 
#define SARC_DREQ512_B 8
#define SARC_OUTODADPCM_B 7
#define SARC_MANUALGAIN_B 6
#define SARC_GAIN4_B 0
 
#define SARC_DREQ512 (1<<8)
#define SARC_OUTODADPCM (1<<7)
#define SARC_MANUALGAIN (1<<6)
#define SARC_GAIN4 (1<<0)
 
#define SARC_GAIN4_BITS 6
#define SARC_GAIN4_MASK 0x003f
 
 
/* SCI_RECQUALITY bits for VS1063 */
 
#define RQ_MODE_B 14
#define RQ_MULT_B 12
#define RQ_OGG_PAR_SERIAL_NUMBER_B 11
#define RQ_OGG_LIMIT_FRAME_LENGTH_B 10
#define RQ_MP3_NO_BIT_RESERVOIR_B 10
#define RQ_BITRATE_BASE_B 0
 
#define RQ_MODE (1<<14)
#define RQ_MULT (1<<12)
#define RQ_OGG_PAR_SERIAL_NUMBER (1<<11)
#define RQ_OGG_LIMIT_FRAME_LENGTH (1<<10)
#define RQ_MP3_NO_BIT_RESERVOIR (1<<10)
#define RQ_BITRATE_BASE (1<< 0)
 
#define RQ_MODE_BITS 2
#define RQ_MODE_MASK 0xc000
#define RQ_MULT_BITS 2
#define RQ_MULT_MASK 0x3000
#define RQ_BITRATE_BASE_BITS 9
#define RQ_BITRATE_BASE_MASK 0x01ff
 
#define RQ_MODE_QUALITY 0x0000
#define RQ_MODE_VBR 0x4000
#define RQ_MODE_ABR 0x8000
#define RQ_MODE_CBR 0xc000
 
#define RQ_MULT_10 0x0000
#define RQ_MULT_100 0x1000
#define RQ_MULT_1000 0x2000
#define RQ_MULT_10000 0x3000
 
 
/* SCI_RECMODE bits for VS1063 */
 
#define RM_63_CODEC_B 15
#define RM_63_AEC_B 14
#define RM_63_UART_TX_B 13
#define RM_63_PAUSE_B 11
#define RM_63_NO_RIFF_B 10
#define RM_63_FORMAT_B 4
#define RM_63_ADC_MODE_B 0
 
#define RM_63_CODEC (1<<15)
#define RM_63_AEC (1<<14)
#define RM_63_UART_TX (1<<13)
#define RM_63_PAUSE (1<<11)
#define RM_63_NO_RIFF (1<<10)
#define RM_63_FORMAT (1<< 4)
#define RM_63_ADC_MODE (1<< 0)
 
#define RM_63_FORMAT_BITS 4
#define RM_63_FORMAT_MASK 0x00f0
#define RM_63_ADCMODE_BITS 3
#define RM_63_ADCMODE_MASK 0x0007
 
#define RM_63_FORMAT_IMA_ADPCM 0x0000
#define RM_63_FORMAT_PCM 0x0010
#define RM_63_FORMAT_G711_ULAW 0x0020
#define RM_63_FORMAT_G711_ALAW 0x0030
#define RM_63_FORMAT_G722_ADPCM 0x0040
#define RM_63_FORMAT_OGG_VORBIS 0x0050
#define RM_63_FORMAT_MP3 0x0060
 
#define RM_63_ADC_MODE_JOINT_AGC_STEREO 0x0000
#define RM_63_ADC_MODE_DUAL_AGC_STEREO 0x0001
#define RM_63_ADC_MODE_LEFT 0x0002
#define RM_63_ADC_MODE_RIGHT 0x0003
#define RM_63_ADC_MODE_MONO 0x0004
 
 
/* SCI_RECMODE bits for VS1053 */
 
#define RM_53_FORMAT_B 2
#define RM_53_ADC_MODE_B 0
 
#define RM_53_FORMAT (1<< 2)
#define RM_53_ADC_MODE (1<< 0)
 
#define RM_53_ADCMODE_BITS 2
#define RM_53_ADCMODE_MASK 0x0003
 
#define RM_53_FORMAT_IMA_ADPCM 0x0000
#define RM_53_FORMAT_PCM 0x0004
 
#define RM_53_ADC_MODE_JOINT_AGC_STEREO 0x0000
#define RM_53_ADC_MODE_DUAL_AGC_STEREO 0x0001
#define RM_53_ADC_MODE_LEFT 0x0002
#define RM_53_ADC_MODE_RIGHT 0x0003
 
 
/* VS1063 definitions */
 
/* VS1063 / VS1053 Parametric */
#define PAR_CHIP_ID 0x1e00 /* VS1063, VS1053, 32 bits */
#define PAR_VERSION 0x1e02 /* VS1063, VS1053 */
#define PAR_CONFIG1 0x1e03 /* VS1063, VS1053 */
#define PAR_PLAY_SPEED 0x1e04 /* VS1063, VS1053 */
#define PAR_BITRATE_PER_100 0x1e05 /* VS1063 */
#define PAR_BYTERATE 0x1e05 /* VS1053 */
#define PAR_END_FILL_BYTE 0x1e06 /* VS1063, VS1053 */
#define PAR_RATE_TUNE 0x1e07 /* VS1063, 32 bits */
#define PAR_PLAY_MODE 0x1e09 /* VS1063 */
#define PAR_SAMPLE_COUNTER 0x1e0a /* VS1063, 32 bits */
#define PAR_VU_METER 0x1e0c /* VS1063 */
#define PAR_AD_MIXER_GAIN 0x1e0d /* VS1063 */
#define PAR_AD_MIXER_CONFIG 0x1e0e /* VS1063 */
#define PAR_PCM_MIXER_RATE 0x1e0f /* VS1063 */
#define PAR_PCM_MIXER_FREE 0x1e10 /* VS1063 */
#define PAR_PCM_MIXER_VOL 0x1e11 /* VS1063 */
#define PAR_EQ5_DUMMY 0x1e12 /* VS1063 */
#define PAR_EQ5_LEVEL1 0x1e13 /* VS1063 */
#define PAR_EQ5_FREQ1 0x1e14 /* VS1063 */
#define PAR_EQ5_LEVEL2 0x1e15 /* VS1063 */
#define PAR_EQ5_FREQ2 0x1e16 /* VS1063 */
#define PAR_JUMP_POINTS 0x1e16 /* VS1053 */
#define PAR_EQ5_LEVEL3 0x1e17 /* VS1063 */
#define PAR_EQ5_FREQ3 0x1e18 /* VS1063 */
#define PAR_EQ5_LEVEL4 0x1e19 /* VS1063 */
#define PAR_EQ5_FREQ4 0x1e1a /* VS1063 */
#define PAR_EQ5_LEVEL5 0x1e1b /* VS1063 */
#define PAR_EQ5_UPDATED 0x1e1c /* VS1063 */
#define PAR_SPEED_SHIFTER 0x1e1d /* VS1063 */
#define PAR_EARSPEAKER_LEVEL 0x1e1e /* VS1063 */
#define PAR_SDI_FREE 0x1e1f /* VS1063 */
#define PAR_AUDIO_FILL 0x1e20 /* VS1063 */
#define PAR_RESERVED0 0x1e21 /* VS1063 */
#define PAR_RESERVED1 0x1e22 /* VS1063 */
#define PAR_RESERVED2 0x1e23 /* VS1063 */
#define PAR_RESERVED3 0x1e24 /* VS1063 */
#define PAR_LATEST_SOF 0x1e25 /* VS1063, 32 bits */
#define PAR_LATEST_JUMP 0x1e26 /* VS1053 */
#define PAR_POSITION_MSEC 0x1e27 /* VS1063, VS1053, 32 bits */
#define PAR_RESYNC 0x1e29 /* VS1063, VS1053 */
 
/* The following addresses are shared between modes. */
/* Generic pointer */
#define PAR_GENERIC 0x1e2a /* VS1063, VS1053 */
 
/* Encoder mode */
#define PAR_ENC_TX_UART_DIV 0x1e2a /* VS1063 */
#define PAR_ENC_TX_UART_BYTE_SPEED 0x1e2b /* VS1063 */
#define PAR_ENC_TX_PAUSE_GPIO 0x1e2c /* VS1063 */
#define PAR_ENC_AEC_ADAPT_MULTIPLIER 0x1e2d /* VS1063 */
#define PAR_ENC_RESERVED 0x1e2e /* VS1063 */
#define PAR_ENC_CHANNEL_MAX 0x1e3c /* VS1063 */
#define PAR_ENC_SERIAL_NUMBER 0x1e3e /* VS1063 */
 
/* Decoding WMA */
#define PAR_WMA_CUR_PACKET_SIZE 0x1e2a /* VS1063, VS1053, 32 bits */
#define PAR_WMA_PACKET_SIZE 0x1e2c /* VS1063, VS1053, 32 bits */
 
/* Decoding AAC */
#define PAR_AAC_SCE_FOUND_MASK 0x1e2a /* VS1063, VS1053 */
#define PAR_AAC_CPE_FOUND_MASK 0x1e2b /* VS1063, VS1053 */
#define PAR_AAC_LFE_FOUND_MASK 0x1e2c /* VS1063, VS1053 */
#define PAR_AAC_PLAY_SELECT 0x1e2d /* VS1063, VS1053 */
#define PAR_AAC_DYN_COMPRESS 0x1e2e /* VS1063, VS1053 */
#define PAR_AAC_DYN_BOOST 0x1e2f /* VS1063, VS1053 */
#define PAR_AAC_SBR_AND_PS_STATUS 0x1e30 /* VS1063, VS1053 */
#define PAR_AAC_SBR_PS_FLAGS 0x1e31 /* VS1063 */
 
 
/* Decoding MIDI (VS1053) */
#define PAR_MIDI_BYTES_LEFT 0x1e2a /* VS1053, 32 bits */
 
/* Decoding Vorbis */
#define PAR_VORBIS_GAIN 0x1e2a 0x1e30 /* VS1063, VS1053 */
 
 
/* Bit definitions for parametric registers with bitfields */
#define PAR_CONFIG1_DIS_WMA_B 15 /* VS1063 */
#define PAR_CONFIG1_DIS_AAC_B 14 /* VS1063 */
#define PAR_CONFIG1_DIS_MP3_B 13 /* VS1063 */
#define PAR_CONFIG1_DIS_FLAC_B 12 /* VS1063 */
#define PAR_CONFIG1_DIS_CRC_B 8 /* VS1063 */
#define PAR_CONFIG1_AAC_PS_B 6 /* VS1063, VS1053 */
#define PAR_CONFIG1_AAC_SBR_B 4 /* VS1063, VS1053 */
#define PAR_CONFIG1_MIDI_REVERB_B 0 /* VS1053 */
 
#define PAR_CONFIG1_DIS_WMA (1<<15) /* VS1063 */
#define PAR_CONFIG1_DIS_AAC (1<<14) /* VS1063 */
#define PAR_CONFIG1_DIS_MP3 (1<<13) /* VS1063 */
#define PAR_CONFIG1_DIS_FLAC (1<<12) /* VS1063 */
#define PAR_CONFIG1_DIS_CRC (1<< 8) /* VS1063 */
#define PAR_CONFIG1_AAC_PS (1<< 6) /* VS1063, VS1053 */
#define PAR_CONFIG1_AAC_SBR (1<< 4) /* VS1063, VS1053 */
#define PAR_CONFIG1_MIDI_REVERB (1<< 0) /* VS1053 */
 
#define PAR_CONFIG1_AAC_PS_BITS 2 /* VS1063, VS1053 */
#define PAR_CONFIG1_AAC_PS_MASK 0x00c0 /* VS1063, VS1053 */
#define PAR_CONFIG1_AAC_SBR_BITS 2 /* VS1063, VS1053 */
#define PAR_CONFIG1_AAC_SBR_MASK 0x0030 /* VS1063, VS1053 */
 
#define PAR_CONFIG1_AAC_SBR_ALWAYS_UPSAMPLE 0x0000 /* VS1063, VS1053 */
#define PAR_CONFIG1_AAC_SBR_SELECTIVE_UPSAMPLE 0x0010 /* VS1063, VS1053 */
#define PAR_CONFIG1_AAC_SBR_NEVER_UPSAMPLE 0x0020 /* VS1063, VS1053 */
#define PAR_CONFIG1_AAC_SBR_DISABLE 0x0030 /* VS1063, VS1053 */
 
#define PAR_CONFIG1_AAC_PS_NORMAL 0x0000 /* VS1063, VS1053 */
#define PAR_CONFIG1_AAC_PS_DOWNSAMPLED 0x0040 /* VS1063, VS1053 */
#define PAR_CONFIG1_AAC_PS_DISABLE 0x00c0 /* VS1063, VS1053 */
 
#define PAR_PLAY_MODE_SPEED_SHIFTER_ENA_B 6 /* VS1063 */
#define PAR_PLAY_MODE_EQ5_ENA_B 5 /* VS1063 */
#define PAR_PLAY_MODE_PCM_MIXER_ENA_B 4 /* VS1063 */
#define PAR_PLAY_MODE_AD_MIXER_ENA_B 3 /* VS1063 */
#define PAR_PLAY_MODE_VU_METER_ENA_B 2 /* VS1063 */
#define PAR_PLAY_MODE_PAUSE_ENA_B 1 /* VS1063 */
#define PAR_PLAY_MODE_MONO_ENA_B 0 /* VS1063 */
 
#define PAR_PLAY_MODE_SPEED_SHIFTER_ENA (1<<6) /* VS1063 */
#define PAR_PLAY_MODE_EQ5_ENA (1<<5) /* VS1063 */
#define PAR_PLAY_MODE_PCM_MIXER_ENA (1<<4) /* VS1063 */
#define PAR_PLAY_MODE_AD_MIXER_ENA (1<<3) /* VS1063 */
#define PAR_PLAY_MODE_VU_METER_ENA (1<<2) /* VS1063 */
#define PAR_PLAY_MODE_PAUSE_ENA (1<<1) /* VS1063 */
#define PAR_PLAY_MODE_MONO_ENA (1<<0) /* VS1063 */
 
#define PAR_VU_METER_LEFT_BITS 8 /* VS1063 */
#define PAR_VU_METER_LEFT_MASK 0xFF00 /* VS1063 */
#define PAR_VU_METER_RIGHT_BITS 8 /* VS1063 */
#define PAR_VU_METER_RIGHT_MASK 0x00FF /* VS1063 */
 
#define PAR_AD_MIXER_CONFIG_MODE_B 2 /* VS1063 */
#define PAR_AD_MIXER_CONFIG_RATE_B 2 /* VS1063 */
 
#define PAR_AD_MIXER_CONFIG_MODE_BITS 2 /* VS1063 */
#define PAR_AD_MIXER_CONFIG_MODE_MASK 0x000c /* VS1063 */
#define PAR_AD_MIXER_CONFIG_RATE_BITS 2 /* VS1063 */
#define PAR_AD_MIXER_CONFIG_RATE_MASK 0x0003 /* VS1063 */
 
#define PAR_AD_MIXER_CONFIG_RATE_192K 0x0000 /* VS1063 */
#define PAR_AD_MIXER_CONFIG_RATE_96K 0x0001 /* VS1063 */
#define PAR_AD_MIXER_CONFIG_RATE_48K 0x0002 /* VS1063 */
#define PAR_AD_MIXER_CONFIG_RATE_24K 0x0003 /* VS1063 */
 
#define PAR_AD_MIXER_CONFIG_MODE_STEREO 0x0000 /* VS1063 */
#define PAR_AD_MIXER_CONFIG_MODE_MONO 0x0040 /* VS1063 */
#define PAR_AD_MIXER_CONFIG_MODE_LEFT 0x0080 /* VS1063 */
#define PAR_AD_MIXER_CONFIG_MODE_RIGHT 0x00c0 /* VS1063 */
 
#define PAR_AAC_SBR_AND_PS_STATUS_SBR_PRESENT_B 0 /* VS1063, VS1053 */
#define PAR_AAC_SBR_AND_PS_STATUS_UPSAMPLING_ACTIVE_B 1 /* VS1063, VS1053 */
#define PAR_AAC_SBR_AND_PS_STATUS_PS_PRESENT_B 2 /* VS1063, VS1053 */
#define PAR_AAC_SBR_AND_PS_STATUS_PS_ACTIVE_B 3 /* VS1063, VS1053 */
 
#define PAR_AAC_SBR_AND_PS_STATUS_SBR_PRESENT (1<<0) /* VS1063, VS1053 */
#define PAR_AAC_SBR_AND_PS_STATUS_UPSAMPLING_ACTIVE (1<<1) /* VS1063, VS1053 */
#define PAR_AAC_SBR_AND_PS_STATUS_PS_PRESENT (1<<2) /* VS1063, VS1053 */
#define PAR_AAC_SBR_AND_PS_STATUS_PS_ACTIVE (1<<3) /* VS1063, VS1053 */
 
 
#endif /* !VS10XX_MICROCONTROLLER_DEFINITIONS_H */